Five generations of Core i7: from Sandy Bridge to Skylake. Comparative testing. The long-awaited Ivy Bridge. Success or failure

However, these two materials, it seems to us, are still insufficient to fully disclose the topic. The first “delicate point” is the clock speeds - after all, when releasing Haswell Refresh, the company had already strictly divided the line of “regular” Core i7 and “overclocker” ones, factory overclocking the latter (which was not so difficult, since generally speaking, few such processors are required , so take it away required amount the necessary crystals are easy). The appearance of Skylake not only preserved the situation, but also aggravated it: the Core i7-6700 and i7-6700K are generally very different processors, differing in TDP level. Thus, even at the same frequencies, these models could work differently in terms of performance, and the frequencies are not at all the same. In general, it is dangerous to draw conclusions based on the older model, but basically it was this and only this model that was studied everywhere. Until recently, the “younger” (and more in demand) was not spoiled by the attention of test laboratories.

Why might this be needed? Just for comparison with the “top” of previous families, especially since there usually was not such a wide frequency spread. Sometimes there was none at all - for example, pairs 2600/2600K and 4771/4770K are identical in terms of the processor part in normal mode. It is clear that the 6700 is to a greater extent an analogue not of the named models, but of the 2600S, 3770S, 4770S and 4790S, but... This is important only from a technical point of view, which, in general, is of little interest to anyone. In terms of prevalence, ease of acquisition and other significant (as opposed to technical details) characteristics, this is exactly the “regular” family, which most owners of “old” Core i7 will be looking at. Or potential owners - while an upgrade from time to time remains something useful, the majority of users of processors of lower processor families, if they need to increase performance, look first of all at devices for the platform they already have on hand, and only then consider (or not consider) the idea its replacement. Tests will show whether this approach is correct or not.

Test bench configuration

CPUIntel Core i7-2700KIntel Core i7-3770Intel Core i7-4770KIntel Core i7-5775CIntel Core i7-6700
Kernel nameSandy BridgeIvy BridgeHaswellBroadwellSkylake
Production technology32 nm22 nm22 nm14 nm14 nm
Core frequency std/max, GHz3,5/3,9 3,4/3,9 3,5/3,9 3,3/3,7 3,4/4,0
Number of cores/threads4/8 4/8 4/8 4/8 4/8
L1 cache (total), I/D, KB128/128 128/128 128/128 128/128 128/128
L2 cache, KB4×2564×2564×2564×2564×256
L3 (L4) cache, MiB8 8 8 6 (128) 8
RAM2×DDR3-13332×DDR3-16002×DDR3-16002×DDR3-16002×DDR4-2133
TDP, W95 77 84 65 65
Graphic artsHDG 3000HDG 4000HDG 4600IPG 6200HDG 530
Qty EU12 16 20 48 24
Frequency std/max, MHz850/1350 650/1150 350/1250 300/1150 350/1150
PriceT-7762352T-7959318T-10384297T-12645073T-12874268

To be more academic, it would make sense to test the Core i7-2600 and i7-4790, and not the 2700K and 4770K, but the former is difficult to find these days, while the 2700K was found and tested at our fingertips. Likewise, the 4770K was also studied, and in the “regular” family it has complete (4771) and close (4770) analogues, and the entire mentioned trio differs insignificantly from the 4790, so we decided not to neglect the opportunity to minimize the amount of work. As a result, by the way, the second, third and fourth generation Core processors turned out to be as close as possible to each other in terms of the official clock frequency range, and the 6700 differs only slightly from them. Broadwell could also be “pulled up” to this level by taking the results not of the i7-5775C, but of the Xeon E3-1285 v4, but only to pull it up and not completely eliminate the difference. That is why we decided to use a more mainstream processor (fortunately, most of the other participants are the same), rather than an exotic processor.

As for other testing conditions, they were equal, but not the same: the RAM operating frequency was the maximum supported according to the specifications. But its volume (8 GB) and system drive (Toshiba THNSNH256GMCT with a capacity of 256 GB) were the same for all subjects.

Testing methodology

To evaluate performance, we used our performance measurement methodology using benchmarks and iXBT Game Benchmark 2015. We normalized all testing results in the first benchmark relative to the results of the reference system, which this year will be the same for laptops and all other computers, which is designed to make it easier for readers to make the hard work of comparison and choice:

iXBT Application Benchmark 2015

As we have written more than once, the video core is of considerable importance in this group. However, not everything is as simple as one might assume just from the technical characteristics - for example, the i7-5775C is still slower than the i7-6700, although the former has a much more powerful GPU. However, even more indicative here is the comparison of the 2700K and 3770, which differ fundamentally in terms of OpenCL code execution - the first is not capable of using the GPU for this at all. The second one is capable. But it does it so slowly that it has no advantages over its predecessor. On the other hand, the endowment of such abilities with “the most popular GPU on the market” led to the fact that software manufacturers began to gradually use them, which became evident by the time the following generations Core. And along with small improvements, processor cores can lead to a fairly noticeable effect.

However, not everywhere - this is precisely the case when the increase from generation to generation is completely unnoticeable. However, it is there, but in such a way that it is easier not to pay attention to it. The only interesting thing here is that the past year has made it possible to combine such an increase in performance with significantly less stringent requirements for the cooling system (which opens up the conventional desktop Core i7 to the compact systems segment), but this is not relevant in all cases.

Here is an example where a considerable part of the load has already been transferred to the GPU. The only thing that can “save” the old Core i7 in this case is a discrete video card, however, sending data over the bus spoils the effect, so the i7-2700K in this case will not necessarily catch up with the i7-6700, and the 3770 is capable of this, but it can keep up neither for 4790K or 6700K, nor for 5775C with any video. Actually, the answer to a puzzling question that sometimes arises among some users is - why does Intel pay so much attention to integrated graphics, if it’s still not enough for games, but has long been enough for other purposes? As we see, it’s not really “enough” if the fastest processor can sometimes (as here) be a processor with far from the most powerful “processor” part. And it’s already interesting in advance what we can get from Skylake in the GT4e modification;)

Amazing unanimity, ensured by the fact that this program does not require either new instruction sets or any miracles in the field of increasing multi-threaded performance. There is still a slight difference between generations of processors. But you can only find it at exactly the same clock frequency. And when it differs significantly (as we have in the i7-5775C, which in single-threaded mode lags behind everyone else by 10%) - you don’t have to look :)

Audition “can do” more or less everything. Is that he is rather indifferent to additional computation threads, but he knows how to use them. Moreover, judging by the results, Skylake does this better than was typical for previous architectures: the advantage of 4770K over 4690K is about 15%, but 6700 outperforms 6600K by 20% (despite the fact that the frequencies are approximately equal for all). In general, most likely, many more discoveries will await us in the new architecture. Small, but sometimes giving a cumulative effect.

As in the case of text recognition, this is where the 6700 breaks away from its predecessors most “briskly”. Although in the absolute end it is insignificant, but to expect such an increase on relatively old and well-polished algorithms, taking into account the fact that, in fact, we have an energy-efficient processor (by the way, the 6700K really copes with this task much faster) would a priori be too optimistic . We didn't expect it. And practice turned out to be more interesting than a priori assumptions :)

All top processors cope very well with archivers, regardless of generation. Largely, it seems to us, because for them this task is already very simple. Actually, seconds are already counting, so it’s almost impossible to radically improve anything here. If you only speed up the memory system, but DDR4 has higher latencies than DDR3, so the guaranteed result is only achieved by increasing the caches. Therefore, the only processor among the tested ones with a GT3e GPU turned out to be the fastest - the fourth level cache is used not only by the video core. On the other hand, the increase from an additional crystal is not so great, so archivers are simply a load that can no longer be paid attention to in the case of obviously fast systems (and not some mini-PCs).

Plus or minus half a bast from the Sun, which, in general, also confirms that all top processors cope with such tasks in the same way, the controllers in the chipsets of the three series are approximately identical, so a significant difference can only be due to the drive.

But in such a banal scenario as simply copying files, there is also a thermal package: models with a reduced “overclock” are quite sluggish (fortunately, formally there is no reason), which leads to slightly lower results than they could. But in general, this is also not the case for which there may be a desire to change the platform.

What do we get as a result? All processors are approximately identical to each other. Yes, of course, the difference between the best and the worst exceeds 10%, but do not forget that these are differences that have accumulated over three years (and if we took the i7-2600, it would be 15% in almost five). Thus, there is no practical sense in replacing one platform with another while the old one is working. Naturally, if we are talking about LGA1155 and its successors, as we have already seen, the “difference” between LGA1156 and LGA1155 is much more noticeable, and not only in terms of performance. On the last ones this moment Intel platforms something can be “squeezed out” by using the “steroidal” Core i7 (if you still focus on this expensive family), but not so much: in terms of integrated performance, the i7-6700K outperforms the i7-6700 by 15%, so its the gap from some i7-2700K increases to almost 30%, which is already more significant, but still not fundamental.

Gaming applications

For obvious reasons, for computer systems of this level we are limited to the minimum quality mode, not only in “full” resolution, but also with its reduction to 1366x768: Despite the obvious progress in the field of integrated graphics, it is not yet able to satisfy the demanding gamer picture quality. And we decided not to test the 2700K on a standard gaming set at all: it is obvious that those owners who use the integrated video core are not at all interested in games. Those who are interested in any way, they certainly at least found and installed some kind of “plug for the slot” in the bins, since our testing using the previous version of the method showed that the HD Graphics 3000 is no better than even the Radeon HD 6450, both of them there is practically nothing left. HDG 4000 and newer IGPs are already of some interest.

For example, in Aliens vs. Predator can be played on any of the processors being studied, but only by lowering the resolution. For FHD, only GT3e is suitable, and it doesn’t matter which one - it’s just that in the socket version, such a configuration is currently available only for Broadwell with all that it implies.

But the “tanks” at minimum settings already “run” so well on everything that a harmonious picture only “dances” in high resolution: in low resolution it is not even clear who is better and who is worse.

Grid2, with all its weak demands on the video part, still ranks processors strictly according to ranking. But this is especially clear again in FHD, where memory bandwidth already matters. As a result, on the i7-6700 you can no longer reduce the resolution. On the i7-5775C, even more so, and the absolute results are much higher, so if this area of ​​application is of interest, and the use of a discrete video card is undesirable for some reason, there are still no alternatives to this line of processors. Which is nothing new.

Only the older Haswell “pull” the game at least in low resolution, and Skylake does this without reservations. We don’t comment on Broadwell - this is not architectural, but, let’s say, quantitative superiority.

The older game in the series is similar at first glance, but there are no even quantitative differences between Haswell and Skylake.

In Hitman - there are noticeable ones, but there is still no transition from quantity to quality.

Just like here, where even the low-resolution mode can only “stretch” a processor with GT3e. The rest have significant, but still insufficient progress even for such “feats”.

The minimum settings mode in this game is very gentle on all low-power GPUs, although HDG 4000 was still only “enough” for HD, but not FHD.

And again a difficult case. Less "heavy" than Thief, but sufficient to clearly demonstrate that no integrated graphics can be considered a gaming solution.

Although some games can be played with relative comfort. However, it will only be noticeable if you complicate the IGP and quantitatively increase all functional blocks. Actually, it is in light modes that progress in the field of Intel GPUs is most noticeable - approximately twice in three years (there is no point in taking older developments seriously anymore). But it does not follow from this that over time integrated graphics will be able to easily and effortlessly catch up with discrete graphics of a comparable age. Most likely, “parity” will be established on the other side - keeping in mind the huge base of installed low-performance solutions, manufacturers of the same games will focus on it. Why wasn't this done before? Generally speaking, they did - if we consider not only 3D games, but the market in general, great amount very popular game projects were designed precisely to work normally on rather archaic platforms. But there was always a certain segment of programs that “moved the market”, and it was this segment that attracted maximum attention from the press and beyond. Now the process is clearly close to the saturation point, since, firstly, the park is diverse computer equipment is already very large, and there are fewer and fewer people willing to engage in permanent upgrades. And secondly, “multi-platform” now means not only specialized game consoles, but also a variety of tablets and smartphones, where, obviously, the performance is still worse than that of “adult” computers, regardless of the degree of integration of the latter’s platforms. But in order for this trend to become dominant, it seems to us that it is still necessary to achieve a certain level of guaranteed productivity. Which is not the case yet. But all manufacturers are working more than actively on the problem, and Intel is no exception.

Total

What do we see in the end? In principle, as has been said more than once, the last significant change in the processor cores of the Core family took place almost five years ago. At this stage, it has already been possible to reach a level that none of the competitors can “attack” directly. Therefore, Intel's main task is to improve the situation in, so to speak, related areas, as well as increase quantitative (but not qualitative) indicators where it makes sense. Moreover, the growing popularity of laptop computers, which have long overtaken desktop computers in this indicator and are becoming increasingly portable, has a serious impact on the mass market (a few years ago, for example, a laptop weighing 2 kg was still considered “relatively light”, and now sales of transformers are actively growing , in the case of which a large mass kills the whole meaning of their existence). In general, the development of computer platforms has long been moving away from the path of best meeting the needs of buyers of large desktop computers. At best - not to their detriment. Therefore, the fact that in general in this segment the performance of systems does not decrease, but even increases slightly, is already a reason for joy - it could be worse :) The only bad thing is that due to changes in peripheral functionality, the platforms themselves have to be constantly changed: this The traditional advantage of modular computers, such as maintainability, is greatly undermined, but there is nothing you can do about it - attempts to maintain compatibility at any cost do not lead to any good (doubters can look at, for example, AMD AM3+).

Since late last year, Ivy Bridge has seemed like the architecture everyone has been waiting for. Although Intel expects from it only a 10-15% increase in computing performance compared to Sandy Bridge.

However, the big plus of Ivy Bridge is the improved graphics and increased power efficiency, which is made possible by the use of a 22nm process technology and new Tri-Gate transistors.

It is worth noting that for several years now Intel has been suffering due to the lack of proper performance of its integrated GPUs in its own chipsets. By placing the GPU on the substrate, the company continued to experience the same poor graphics performance, and until now it is far behind the competition.

But it’s also impossible to say that nothing has changed for the better. The capabilities and performance of integrated graphics have increased to play HD content, handle more than one screen, multiple inputs, offer support for wireless displays, and more.

By the way, Intel is preparing another major increase in graphics performance, which should take place with the release of the Haswell architecture next year. But for now, for the same money, buyers should look to the increased performance and improved efficiency of the Ivy Bridge architecture.

For some time it was believed that the transition to a new manufacturing process would delay the release of new chips by several months. However, Intel managed to reduce the release delay to several weeks. Moreover, plans to release chipsets for Ivy Bridge have not changed at all. The new 7-series chipsets are backwards compatible with Sandy Bridge processors, so you can now buy a Z77-based motherboard and use it.

And if we recently compared several Z77-based motherboards, today we are going to look at the Core i7-3770K processor.

The Ivy Bridge line consists of several desktop and mobile Core processors i7 and Core i5, which will effectively replace most of the current offerings under these same series. Ivy Bridge Core i3 chips will hit the market in the second half of this year.

The new Core i7 desktop processors include the Core i7-3770K, i7-3770, i7-3770T and i7-3770S - all of which, with the exception of the i7-3770K, retail for $278. At the same time, the i7-3770K chip costs slightly more – $313. It's a bit like over-the-top Windows Vista/7 editions if you ask me, but that's how Intel approaches its CPUs these days.

The Core i7-3770K and i7-3770 chips are mostly identical, with a few exceptions. Version K comes with an unlocked multiplier, so this chip is 100MHz faster. Also, Intel vPro/TXT/VT-d/SIPP technologies were removed from the K series.

The Core i7-3770S and i7-3770T chips are representatives of the low power series (second diagram below), and if so, their TDP is reduced from 77W to 65W and 45W, respectively. The determining factor in achieving such low TDPs is the reduced base CPU frequency, reduced from 3.50GHz to just 3.10GHz for the i7-3770S and to 2.50GHz for the i7-3770T.

All Ivy Bridge Core i7 desktop processors have 4 cores with 8 parallel threads when using Hyper-Threading. Core i7 3770K runs at 3.50GHz, with Turbo Boost the frequency rises to 3.90GHz. At the same time, the “non-K” version has the same Turbo frequency Boost, but the base frequency is 3.4GHz. The chips are designed to work with DDR3-1333 memory and have 8MB of L3 cache.

There are also New episode Core i5, which consists of i5-3570K, i5-3550, i5-3470 and i5-3450 processors ($194 for the K version, and $174 for the rest). There are also low-power Core models i5-3570T, i5-3550S, i5-3470T, i5-3470S and Core i5-3450S, but let's talk about standard processors first.

All standard Ivy Bridge Core i5 processors have a 77W TDP, four cores and four parallel threads. The only processor that differs from this “configuration” is the i5-3470T. The latter has a pair of cores with Hyper-Threading for four threads.

Core i5 chips operate at fairly aggressive frequencies. Thus, the i5-3570K and i5-3570 operate at 3.40GHz, with Turbo Boost up to 3.80GHz. The i5-3550 runs at 3.30GHz with Turbo Boost at 3.70GHz, while the base i5-3470 runs at 3.20GHz and can be overclocked to 3.60GHz with Turbo Boost.

Finally, the Core i5-3450 in the base runs at 3.10GHz, and with Turbo Boost it can reach 3.50GHz. All Core i5 processors have 6MB L3 cache. The only exception is the i5-3470T, which has only 3MB L3 cache.

All Core i5 processors use the Intel HD Graphics 2500 graphics engine. The exception, again, is the i5-3570K, which uses the HD Graphics 4000 engine.

The array of low-power Core i5s is somewhat confusing. The five models released so far differ from each other, although many of them cost the same. The Core i5 3470T chip is essentially a Core i3 processor with Turbo Boost added. This processor operates at 2.90GHz, and with Turbo Boost at 3.50GHz. However, like the Core i3 processors, the i5 3470T has only a couple of cores with Hyper-Threading support and a reduced 3MB L3 cache. However, it reportedly costs $174.

Then there are the Core i5-3570T and i5-3550S chips (both cost $194). The i5-3570T has a 45W TDP and runs at 2.30GHz, and with Turbo Boost it can accelerate up to 3.30GHz. At the same time, the i5-3550S chip is noticeably faster. In the base it operates at 3.0 GHz, and with Turbo Boost at 3.37 GHz. As you can probably guess, the i5 3550S has an increased TDP of 65W.

Finally, we have the Core i5-3470S and i5-3450S (both $174), which feature a 65W TDP. The Core i5-3470S runs at 2.90GHz and with Turbo Boost at 3.60GHz, while the i5-3450S runs at 2.80GHz and with Turbo Boost at 3.50GHz.

The first generation of Intel HD on-chip graphics, released with the Westmere architecture, were not actually on the same substrate, but rather in the same package. The box graphics engine was separated from the CPU. Moreover, it was created using a 45nm process technology, while the CPU itself was created using a 32nm process.

This all changed with second generation graphics (Sandy Bridge), which included the GPU on the substrate, meaning that the graphics engine was also built on the same 32nm process as the CPU. Although this couple is not under the same roof, because the GPU is still independent of the CPU. It has its own clock domain, meaning that it can be started separately, as well as stopped if necessary.

The same approach is used in the Ivy Bridge architecture. Intel engineers simply added power. Again, there are two different versions of Intel HD graphics, and Ivy Bridge processors can use one of the graphics engines - the HD 2500 or the faster HD 4000.

The engines can operate at frequencies up to 1350MHz and support resolutions up to 2560x1600. Rendering support includes DirectX 11, OpenGL 3.1 and Shader Model Support 4.1. For comparison, the previous generation supported DirectX 10.1 and OpenGL 3.0.

Shaders, cores and execution units are what Intel calls an “Execution Unit” or simply EU. The HD Graphics 2500 has six, while the faster HD Graphics 4000 has sixteen. Interestingly, most desktop Core i5 processors use the slower HD Graphics 2500 engine, while all mobile processors get the 4000 engine.

In addition to supporting higher resolutions (up to 2560x1600 versus 1920x1200 previously), new graphics Intel HD now supports three monitors. Sandy Bridge processors were limited to only two monitors. However, the new Ivy Bridge graphics can support three monitors simultaneously, which is a nice upgrade.

Compared to Sandy Bridge, Intel says its third-generation GPU delivers improved 3D performance and API improvements, such as 2x faster performance in 3Dmark Vantage. Intel also states that the Ivy Bridge Intel HD 2500 should perform about 10-20% better with 3D graphics than the Intel HD 2000 engine from Sandy Bridge. But we would immediately recommend that you focus more on the coding capabilities and performance than on games, which, however, you will see later.

Test System & Memory Performance

Intel LGA2011 test system specifications:

  • Intel Core i7-3960X Extreme Edition (3.30GHz)
  • Intel Core i7-3820 (3.60GHz)
  • x4 2GB G.Skill DDR3 PC3-14900 (CAS 8-9-8-24)
  • Gigabyte G1.Assassin2 (Intel X79)
  • OCZ ZX Series 1250w
  • Crucial m4 256GB (SATA 6Gb/s)

Software


- Nvidia Forceware 296.10

AMD AM3+ test system specifications:

  • AMD Phenom II X6 1100T (3.30GHz)
  • AMD Phenom II X4 980 (3.70GHz)
  • AMD FX-8150 (3.60GHz)
  • AMD FX-8120 (3.10GHz)
  • AMD FX-6100 (3.30GHz)
  • AMD FX-4170 (4.20GHz)
  • Asrock Fatal1ty 990FX Professional (AMD 990FX)
  • OCZ ZX Series 1250w
  • Crucial m4 256GB (SATA 6Gb/s)
  • Gigabyte GeForce GTX 580 SOC (1536MB)

Software

  • Microsoft Windows 7 Ultimate SP1 64-bit
  • Nvidia Forceware 296.10

Intel LGA1366 test system specifications:

  • Intel Core i7-975 Extreme Edition (3.33GHz)
  • Intel Core i7-920 (2.66GHz)
  • x3 2GB G.Skill DDR3 PC3-12800 (CAS 8-8-8-20)
  • Gigabyte G1.Sniper (Intel X58)
  • OCZ ZX Series 1250w
  • Crucial m4 256GB (SATA 6Gb/s)
  • Gigabyte GeForce GTX 580 SOC (1536MB)

Software

  • Microsoft Windows 7 Ultimate SP1 64-bit
  • Nvidia Forceware 296.10

Intel LGA1155 test system specifications:

  • Intel Core i7-2600K
  • Intel Core i5-2500K
  • x2 4GB G.Skill DDR3 PC3-14900 (CAS 8-9-8-24)
  • Asrock Z77 Extreme6 (Intel Z77)
  • OCZ ZX Series 1250w
  • Crucial m4 256GB (SATA 6Gb/s)
  • Gigabyte GeForce GTX 580 SOC (1536MB)

Software

  • Microsoft Windows 7 Ultimate SP1 64-bit
  • Nvidia Forceware 296.10

Intel LGA1156 test system specifications:

  • Intel Core i5-750
  • x2 4GB G.Skill DDR3 PC3-12800 (CAS 8-8-8-20)
  • Gigabyte P55A-UD7 (Intel P55)
  • OCZ ZX Series 1250w
  • Crucial m4 256GB (SATA 6Gb/s)
  • Gigabyte GeForce GTX 580 SOC (1536MB)

Software

  • Microsoft Windows 7 Ultimate SP1 64-bit
  • Nvidia Forceware 296.10

The Core i7-3770K's memory performance is similar to that of the i7-2600K. In fact, the small performance gain can easily be attributed to the 100MHz difference in frequency.

And although there is no significant difference in memory performance between the Core i7-3770K and i7-2600K, there is a difference in terms of L2 cache. In particular, the Core i7-3770K was much faster on recording.

Synthetic performance

In the SolidWorks test, new Core The i7-3770K is noticeably faster than the i7-2600K. The performance difference was 18%. At the same time, the Core i7-3770K delivered the same performance as the AMD FX chip.

If the results of the SolidWorks test surprised us, then in the Maya test the new Core i7-3770K simply amazed us. Here, with its 15.58fps, it was 56% faster than the Core i7-2600K. In fact, the Core i7-3770K was the leader in this test. At the same time, we don't quite understand why the Core i7-3770K performs so well in this test, and how it managed to beat the Core i7-3960X.

The CINEBENCH R11.5 CPU test showed a 17% advantage of the Core i7-3770K over the i7-2600K. IN this test The Core i7-3770K was faster than the i7-3820 and FX-8150.

In the built-in WinRAR test, the new Core i7-3770K was noticeably faster than the i7-2600K. The result of the Core i7-3770K was 3992KB/s versus 3640KB/s for the i7-2600K.

Application Performance

In Excel 2010, the new Core i7-3770K delivered roughly the same performance as the i7-2600K. This means it was 9% faster than the Core i7-3820, but 24% slower than the i7-3960X.

In WinRAR, the Core i7-3770K was only 3% faster than the i7-2600K in the 700MB compression test. At the same time, in the 400MB compression test the difference between the processors was already 5%.

Once again, we see little difference in performance between the Core i7-3770K and i7-2600K processors.

The Fritz Chess 13 test was the first big victory for the Core i7-3770K. Here, this chip was about 10% faster than the i7-2600K and slightly faster than the i7-3820.

Encoding Performance

In the HandBrake test, the Core i7-3770K showed a 16% performance advantage over the i7-2600K. Additionally, the Core i7-3770K was faster than the i7-3820 and FX-8150 chips, although it was about 13% slower than the mighty i7-3960X.

The new Core i7-3770K performed well in the x264 HD Benchmark test, showing a 17% advantage over the i7-2600K and 27% over the FX-8150. Moreover, in terms of performance here it is equal to the i7-3960X.

The new Core i7-3770K completed the TMPGEnc 4.0 XPress test 35 seconds faster than the i7-2600K, making it 9% faster. This also placed the Core i7-3770K between the i7-3820 and i7-3960X. Impressive result.

Performance with discrete GPU

In Dirt 3, the Core i7-3770K was slightly faster than the i7-2600K. Moreover, it was the fastest processor in this game when using the same video card (GeForce GTX 580).

The Core i7-3770K was again the fastest processor tested, this time in Just Cause 2. This processor was slightly faster than the Phenom II X4 980 and the Core i7-2600K.

The last game we looked at when examining the performance of processors with a discrete GPU was The Witcher 2. As you can see, here the Core i7-3770K delivered almost the same performance as the i7-2600K. Although the Core i7-3770K was still a little faster.

Integrated GPU Performance

Despite various improvements, the integrated Intel HD 4000 graphics engine is still not at all suitable for gaming purposes. The Core i7-2600K processor could not be used for direct comparison of performance in 3Dmark 11, because this requires DirectX 11 support. At 1486pts, our Core i7-3770K was nearly 20% slower than the AMD A8-3850 and 23% slower than the GeForce GT 430 (currently $50).

In Splinter Cell Conviction at 1280x800, our Core i7-3770K was 77% faster than the i7-2600K. Impressive performance gains. Although, on the other hand, the Core i7-3770K was still almost 40% slower than the AMD A8-3850.

In the Crysis Warhead test, the Core i7-3770K was 133% faster than the i7-2600K, although 22% slower than the AMD A8-3850.

In Just Cause 2, the Core i7-3770K was 3fps faster than the Core i7-2600K. At the same time, the AMD A8-3850 chip was about 48% faster than the Core i7-3770K.

In Civilization V, the Core i7-3770K provided a 64% performance advantage over the i7-2600K with an average of 23fps. Although, the AMD A8-3850 with its 36fps was 36% faster than the Core i7-3770K.

Overclocking

Using a fairly high voltage of 1,520V, we were able to overclock the Core i7-3770K to 4.92GHz, which is not bad at all. This is 100MHz more than we were able to squeeze out of the Core i7-2600K.

Overclocking the Core i7-3770K processor to 4.90GHz allowed us to gain 21% additional performance in the first test and 26% in the second. This made the Core i7-3770K significantly faster than the i7-3960X.

In the CINEBENCH R11.5 test, we got 27% more performance from the overclocked Core i7-3770K, which, however, was not enough to beat the i7-3960X.

Energy consumption

The power consumption of the Ivy Bridge system is impressive. The Core i7-3770K consumed 11% less power than the i7-2600K, although it ran at a higher frequency and delivered overall better performance. The results of consumption in rest mode remained largely similar - the system with the Core i7-3770K consumed 75W, and with the i7-2600K it was already 76W. Looking at the previous generation chips, their shortcomings are immediately noticeable - 98W for the Core i7-3820 and 100W for the FX-8150.

Under load, the Core i7-3770K consumed 14% less power than the i7-3820, 37% less than the Phenom II X6 1100T, and 42% less than the FX-8150.

Final Thoughts

After testing, we came to the conclusion that the architecture of Ivy Bridge is not very different from Sandy Bridge, although this was expected. Many of our real-world application tests, like Excel 2010, WinRAR, and Photoshop CS5, showed only small performance differences between the new Core i7-3770K and the older i7-2600K.

There were cases where the Core i7-3770K was about 10% faster (like Fritz Chess 13), and then we saw the biggest performance difference in our encoding scores. There, the Core i7 3770K was 10-17% faster than the i7-2600K.

In games with discrete video card, like the GeForce GTX 580, we saw a slight performance advantage of the Core i7-3770K over the i7-2600K, but nothing to brag about. More impressive results were obtained by measuring power consumption, where the Core i7-3770K consumed 11% less energy, although it worked on average 17% faster.

Once again, we were disappointed with the performance of the integrated GPU. Without any doubt, the new Intel HD 4000 graphics will bring a significant increase in performance on mobile market, like ultrabooks, whose developers should like the added performance and lower power consumption.

But in the desktop world, Intel's new integrated graphics are still slower than AMD's A8-series Llano APU. What's more, most of the more affordable Ivy Bridge processors will feature slower HD 2500 graphics, which we suspect will be comparable to the HD 3000 from the i7-2600K. Like its predecessors, the HD 4000 graphics are not suitable for gaming. By the way, in the tests we used not low, but medium quality settings and a resolution of 1280x800. But even in this case, the HD 4000’s results were still very mediocre compared to its competitors.

And although Intel marketers think differently, the company’s integrated graphics should not be aimed at gamers. For professionals and casual users, the Intel HD 4000 is most likely suitable. Ivy Bridge adds support for a third monitor output and higher resolution (2560x1600), and on the mobile side we're excited to see increased use of WiDi (wireless display).

For buyers, the arrival of Ivy Bridge chips on the market can only be seen as good news. It’s great if you purchased an LGA1155 platform, because you can take advantage of the new 22nm processors on existing motherboards. For newcomers, the Ivy Bridge architecture brings an updated platform that delivers greater performance, improved efficiency, and several new features for the same price as Sandy Bridge.

So, in summary:

Pros: Intel continues to deliver the best desktop processor money can buy. Excellent efficiency and capabilities. Overclocking is well supported by the “K” processor. Backward compatibility is a big plus for buyers.

Minuses: Integrated graphics perform most tasks, but are not suitable for games. Performs worse than AMD A8 APU.

IntroductionThis summer, Intel did something strange: it managed to change as many as two generations of processors aimed at commonly used personal computers. At first, Haswell was replaced by processors with the Broadwell microarchitecture, but then within just a couple of months they lost their status as new products and gave way to Skylake processors, which will remain the most progressive CPUs for at least another year and a half. This leapfrog with the change of generations occurred mainly in connection with the problems Intel encountered when introducing the new 14-nm process technology, which is used in the production of both Broadwell and Skylake. Productive carriers of the Broadwell microarchitecture were greatly delayed on their way to desktop systems, and their successors were released according to a pre-planned schedule, which led to a crumpled announcement of the fifth generation Core processors and a serious reduction in their life cycle. As a result of all these upheavals, in the desktop segment Broadwell occupied a very narrow niche of economical processors with a powerful graphics core and are now content with only a small level of sales typical of highly specialized products. The attention of the advanced part of users switched to the followers of Broadwell - Skylake processors.

It should be noted that over the past few years, Intel has not been pleasing its fans with the growth in performance of its products. Each new generation of processors adds only a few percent in specific performance, which ultimately leads to a lack of clear incentives for users to upgrade older systems. But the release of Skylake - a generation of CPUs along the way to which Intel actually jumped over a step - inspired certain hopes that we would get a truly worthwhile update to the most common computing platform. However, nothing like this happened: Intel performed in its usual repertoire. Broadwell was introduced to the public as a sort of offshoot from the main line of desktop processors, and Skylake turned out to be marginally faster than Haswell in most applications.

Therefore, despite all expectations, the appearance of Skylake on sale aroused skepticism among many. After reviewing the results of real tests, many buyers simply did not see the real point in switching to sixth-generation Core processors. Indeed, the main trump card of the new CPUs is primarily a new platform with accelerated internal interfaces, but not a new processor microarchitecture. And this means that Skylake offers few real incentives to update legacy systems.

However, we still would not dissuade all users without exception from switching to Skylake. The fact is that even though Intel is increasing the performance of its processors at a very restrained pace, four generations of microarchitecture have already passed since the advent of Sandy Bridge, which are still working in many systems. Each step along the path of progress has contributed to an increase in performance, and today Skylake is able to offer quite a significant increase in performance compared to its earlier predecessors. Just to see this, you need to compare it not with Haswell, but with earlier representatives of the Core family that appeared before it.

Actually, this is exactly the comparison we will do today. Considering all that has been said, we decided to see how much the performance of Core i7 processors has increased since 2011, and we collected older Core i7s belonging to the Sandy Bridge, Ivy Bridge, Haswell, Broadwell and Skylake generations in a single test. Having received the results of such testing, we will try to understand which processor owners should start upgrading older systems, and which of them can wait until subsequent generations of CPUs appear. Along the way, we will look at the performance level of the new Core i7-5775C and Core i7-6700K processors of the Broadwell and Skylake generations, which have not yet been tested in our laboratory.

Comparative characteristics of the tested CPUs

From Sandy Bridge to Skylake: Specific Performance Comparison

In order to remember how the specific performance of Intel processors has changed over the last five years, we decided to start with a simple test in which we compared the operating speed of Sandy Bridge, Ivy Bridge, Haswell, Broadwell and Skylake, reduced to the same frequency 4 .0 GHz. In this comparison, we used processors of the Core i7 line, that is, quad-core processors with Hyper-Threading technology.

The complex test SYSmark 2014 1.5 was taken as the main testing tool, which is good because it reproduces typical user activity in common office applications, when creating and processing multimedia content and when solving computing problems. The following graphs display the results obtained. For ease of perception, they are normalized; the performance of Sandy Bridge is taken as 100 percent.



The integral indicator SYSmark 2014 1.5 allows us to make the following observations. The transition from Sandy Bridge to Ivy Bridge increased specific productivity only slightly - by about 3-4 percent. The next step to Haswell was much more effective, resulting in a 12 percent improvement in performance. And this is the maximum increase that can be observed in the above graph. After all, Broadwell is ahead of Haswell by only 7 percent, and the transition from Broadwell to Skylake even increases specific productivity by only 1-2 percent. All the progress from Sandy Bridge to Skylake results in a 26 percent increase in performance at constant clock speeds.

A more detailed explanation of the obtained SYSmark 2014 1.5 indicators can be found in the following three graphs, where the integral performance index is broken down into components by application type.









Please note that with the introduction of new versions of microarchitectures, multimedia applications increase execution speed most noticeably. In them, the Skylake microarchitecture outperforms Sandy Bridge by as much as 33 percent. But in counting problems, on the contrary, progress is least evident. Moreover, with such a load, the step from Broadwell to Skylake even results in a slight decrease in specific performance.

Now that we have an idea of ​​what has happened to the specific performance of Intel processors over the past few years, let's try to figure out what caused the observed changes.

From Sandy Bridge to Skylake: what has changed in Intel processors

We decided to make the representative of the Sandy Bridge generation the starting point for comparing different Core i7s for a reason. It was this design that laid a strong foundation for all further improvements in high-performance Intel processors up to today's Skylake. Thus, representatives of the Sandy Bridge family became the first highly integrated CPUs, in which both computing and graphics cores were assembled in one semiconductor chip, as well as north bridge with L3 cache and memory controller. In addition, they were the first to use an internal ring bus, through which the problem of highly efficient interaction of all structural units that make up such a complex processor was solved. These universal design principles embedded in the Sandy Bridge microarchitecture continue to be followed by all subsequent generations of CPUs without any major adjustments.

The internal microarchitecture of the computing cores has undergone significant changes in Sandy Bridge. It not only implemented support for the new AES-NI and AVX instruction sets, but also found numerous major improvements in the bowels of the execution pipeline. It was in Sandy Bridge that a separate level-0 cache was added for decoded instructions; a completely new instruction reordering unit has appeared, based on the use of a physical register file; Branch prediction algorithms have been significantly improved; and in addition, two of the three execution ports for working with data have become unified. Such diverse reforms, carried out simultaneously at all stages of the pipeline, made it possible to significantly increase the specific productivity of Sandy Bridge, which immediately increased by almost 15 percent compared to the previous generation Nehalem processors. Added to this was a 15% increase in nominal clock frequencies and excellent overclocking potential, resulting in a family of processors that is still held up by Intel as an exemplary embodiment of the “so” phase in the company’s pendulum development concept.

Indeed, we have not seen improvements in microarchitecture similar in scale and effectiveness since Sandy Bridge. All subsequent generations of processor designs make much smaller improvements in the computing cores. Perhaps this is a reflection of the lack of real competition in the processor market, perhaps the reason for the slowdown in progress lies in Intel's desire to focus on improving graphics cores, or perhaps Sandy Bridge simply turned out to be such a successful project that it further development requires too much labor.

The transition from Sandy Bridge to Ivy Bridge perfectly illustrates the decline in innovation intensity. Despite the fact that the next generation of processors after Sandy Bridge was transferred to a new production technology with 22 nm standards, its clock speeds did not increase at all. The improvements made in the design mainly affected the memory controller, which had become more flexible, and the PCI Express bus controller, which was compatible with the third version this standard. As for the microarchitecture of the computing cores itself, some cosmetic changes made it possible to speed up the execution of division operations and slightly increase the efficiency of Hyper-Threading technology, and that’s all. As a result, the increase in specific productivity was no more than 5 percent.

At the same time, the introduction of Ivy Bridge also brought something that the million-strong army of overclockers now bitterly regrets. Starting with processors of this generation, Intel abandoned the pairing of the semiconductor chip of the CPU and the cover that covers it using flux-free soldering and switched to filling the space between them with a polymer thermal interface material with very dubious thermal conductive properties. This artificially worsened the frequency potential and made Ivy Bridge processors, like all their successors, noticeably less overclockable compared to the very vigorous “oldies” Sandy Bridge in this regard.

However, Ivy Bridge is just a “tick”, and therefore no one promised any special breakthroughs in these processors. However, the next generation, Haswell, which, unlike Ivy Bridge, already belongs to the “so” phase, did not bring any encouraging growth in productivity. And this is actually a little strange, since a lot of various improvements have been made in the Haswell microarchitecture, and they are dispersed across different parts of the execution pipeline, which in total could well increase the overall speed of command execution.

For example, in the input part of the pipeline, the performance of branch prediction was improved, and the queue of decoded instructions began to be dynamically divided between parallel threads coexisting within the Hyper-Threading technology. At the same time, there was an increase in the window for out-of-order execution of commands, which in total should have increased the share of code executed in parallel by the processor. Two additional functional ports were added directly to the execution unit, aimed at processing integer commands, servicing branches and storing data. Thanks to this, Haswell became capable of processing up to eight micro-operations per clock cycle - a third more than its predecessors. Moreover, the new microarchitecture doubles and throughput cache memory of the first and second levels.

Thus, improvements in the Haswell microarchitecture did not affect only the speed of the decoder, which seems to have become the biggest bottleneck in modern Core processors at the moment. Indeed, despite the impressive list of improvements, the increase in specific productivity for Haswell compared to Ivy Bridge was only about 5-10 percent. But in fairness, it must be noted that in vector operations the acceleration is noticeably much stronger. And the greatest gains can be seen in applications that use the new AVX2 and FMA commands, support for which also appeared in this microarchitecture.

Haswell processors, like Ivy Bridge, were also not particularly liked by enthusiasts at first. Especially considering the fact that in the original version they did not offer any increase in clock frequencies. However, a year after its debut, Haswell began to seem noticeably more attractive. First, there has been an increase in the number of applications that take advantage of the architecture's greatest strengths and use vector instructions. Secondly, Intel was able to correct the situation with frequencies. Later modifications of Haswell, codenamed Devil's Canyon, were able to increase their advantage over their predecessors by increasing the clock speed, which finally broke through the 4-GHz ceiling. In addition, following the lead of overclockers, Intel has improved the polymer thermal interface under the processor cover, which makes Devil's Canyon more suitable for overclocking. Of course, not as pliable as Sandy Bridge, but still.

And with such baggage, Intel approached Broadwell. Since the main key feature of these processors was supposed to be a new production technology with 14-nm standards, no significant innovations in their microarchitecture were planned - it was supposed to be almost the most banal “tick”. Everything necessary for the success of new products could well be provided by just one thin technical process with second-generation FinFET transistors, which in theory allows reducing power consumption and raising frequencies. However, the practical implementation of the new technology resulted in a series of failures, as a result of which Broadwell only gained efficiency, but not high frequencies. As a result, those processors of this generation that Intel introduced for desktop systems came out more like mobile CPUs than successors to Devil’s Canyon. Moreover, in addition to reduced thermal packages and rolled back frequencies, they differ from their predecessors in having a smaller L3 cache, which, however, is somewhat compensated by the appearance of a fourth-level cache located on a separate chip.

At the same frequency as Haswell, Broadwell processors demonstrate approximately a 7 percent advantage, provided by both the addition of an additional level of data caching and another improvement in the branch prediction algorithm along with an increase in the main internal buffers. In addition, Broadwell implements new and faster schemes for executing multiply and divide instructions. However, all these small improvements are negated by the clock speed fiasco, which takes us back to the pre-Sandy Bridge era. For example, the older overclocker Core i7-5775C of the Broadwell generation is inferior in frequency to the Core i7-4790K by as much as 700 MHz. It is clear that it is pointless to expect any increase in productivity against this background, as long as there is no serious drop in productivity.

Largely because of this, Broadwell turned out to be unattractive to the majority of users. Yes, processors of this family are highly economical and even fit into a thermal package with a 65-watt frame, but who really cares about that? The overclocking potential of the first generation 14nm CPU turned out to be quite restrained. There is no talk of any operation at frequencies approaching the 5-GHz bar. The maximum that can be achieved from Broadwell using air cooling lies in the vicinity of 4.2 GHz. In other words, Intel's fifth generation Core turned out to be, at least, strange. Which, by the way, the microprocessor giant ultimately regretted: Intel representatives note that the late release of Broadwell for desktop computers, its shortened life cycle and atypical characteristics had a negative impact on sales, and the company does not plan to undertake any more such experiments.

Against this background, the newest Skylake appears not so much as a further development of Intel microarchitecture, but as a kind of work on mistakes. Despite the fact that this generation of CPU uses the same 14nm process technology as Broadwell, Skylake does not have any problems with operating at high frequencies. The nominal frequencies of the sixth generation Core processors have returned to those that were characteristic of their 22-nm predecessors, and the overclocking potential has even increased slightly. The fact that in Skylake the processor power converter again moved to the motherboard and thereby reduced the total heat generation of the CPU during overclocking played into the hands of overclockers here. The only pity is that Intel never returned to using an effective thermal interface between the die and the processor cover.

But as for the basic microarchitecture of computing cores, despite the fact that Skylake, like Haswell, is the embodiment of the “so” phase, there are very few innovations in it. Moreover, most of them are aimed at expanding the input part of the executive pipeline, while the remaining parts of the pipeline remained without any significant changes. The changes relate to improving the performance of branch prediction and increasing the efficiency of the prefetch unit, and that’s all. At the same time, some of the optimizations serve not so much to improve performance, but are aimed at further increasing energy efficiency. Therefore, one should not be surprised that Skylake is almost no different from Broadwell in its specific performance.

However, there are exceptions: in some cases, Skylake can outperform its predecessors in performance and more noticeably. The fact is that the memory subsystem has been improved in this microarchitecture. The on-chip ring bus became faster, and this ultimately increased the bandwidth of the L3 cache. Plus, the memory controller received support for high-frequency DDR4 SDRAM memory.

But in the end, it turns out that no matter what Intel says about the progressiveness of Skylake, from the point of view of ordinary users this is a rather weak update. The main improvements in Skylake are made in the graphics core and in energy efficiency, which opens the way for such CPUs to fanless systems of the tablet form factor. Desktop representatives of this generation do not differ too noticeably from those of Haswell. Even if we close our eyes to the existence of the intermediate generation Broadwell, and compare Skylake directly with Haswell, the observed increase in specific productivity will be about 7-8 percent, which can hardly be called an impressive manifestation of technical progress.

Along the way, it is worth noting that the improvement of technological production processes does not live up to expectations. On the way from Sandy Bridge to Skylake, Intel changed two semiconductor technologies and reduced the thickness of transistor gates by more than half. However, the modern 14-nm process technology, compared to the 32-nm technology of five years ago, has not made it possible to increase the operating frequencies of processors. All Core processors of the last five generations have very similar clock speeds, which, if they exceed the 4-gigahertz mark, do so only slightly.

To clearly illustrate this fact, you can look at the following graph, which displays the clock speed of older overclocking Core i7 processors of different generations.



Moreover, the peak clock speed does not even occur on Skylake. Haswell processors belonging to the Devil’s Canyon subgroup can boast the maximum frequency. Their nominal frequency is 4.0 GHz, but thanks to the turbo mode in real conditions they are capable of accelerating to 4.4 GHz. For modern Skylake, the maximum frequency is only 4.2 GHz.

All this, naturally, affects the final performance of real representatives of various CPU families. And then we propose to see how all this is reflected in the performance of platforms built on the basis of flagship processors from each of the Sandy Bridge, Ivy Bridge, Haswell, Broadwell and Skylake families.

How we tested

The comparison involved five Core i7 processors of different generations: Core i7-2700K, Core i7-3770K, Core i7-4790K, Core i7-5775C and Core i7-6700K. Therefore, the list of components involved in testing turned out to be quite extensive:

Processors:

Intel Core i7-2600K (Sandy Bridge, 4 cores + HT, 3.4-3.8 GHz, 8 MB L3);
Intel Core i7-3770K (Ivy Bridge, 4 cores + HT, 3.5-3.9 GHz, 8 MB L3);
Intel Core i7-4790K (Haswell Refresh, 4 cores + HT, 4.0-4.4 GHz, 8 MB L3);
Intel Core i7-5775C (Broadwell, 4 cores, 3.3-3.7 GHz, 6 MB L3, 128 MB L4).
Intel Core i7-6700K (Skylake, 4 cores, 4.0-4.2 GHz, 8 MB L3).

CPU cooler: Noctua NH-U14S.
Motherboards:

ASUS Z170 Pro Gaming (LGA 1151, Intel Z170);
ASUS Z97-Pro (LGA 1150, Intel Z97);
ASUS P8Z77-V Deluxe (LGA1155, Intel Z77).

Memory:

2x8 GB DDR3-2133 SDRAM, 9-11-11-31 (G.Skill F3-2133C9D-16GTX);
2x8 GB DDR4-2666 SDRAM, 15-15-15-35 (Corsair Vengeance LPX CMK16GX4M2A2666C16R).

Video card: NVIDIA GeForce GTX 980 Ti (6 GB/384-bit GDDR5, 1000-1076/7010 MHz).
Disk subsystem: Kingston HyperX Savage 480 GB (SHSS37A/480G).
Power supply: Corsair RM850i ​​(80 Plus Gold, 850 W).

Testing was performed on the Microsoft Windows 10 Enterprise Build 10240 operating system using the following set of drivers:

Intel Chipset Driver 10.1.1.8;
Intel Management Engine Interface Driver 11.0.0.1157;
NVIDIA GeForce 358.50 Driver.

Performance

Overall Performance

To evaluate processor performance in common tasks, we traditionally use the Bapco SYSmark test package, which simulates user work in real common modern office programs and applications for creating and processing digital content. The idea of ​​the test is very simple: it produces a single metric characterizing the weighted average speed of the computer during everyday use. After release operating system Windows 10 this benchmark has been updated once again, and now we use the most latest version– SYSmark 2014 1.5.



When comparing Core i7s of different generations, when they operate in their nominal modes, the results are completely different from those when compared at a single clock frequency. Still, the actual frequency and operating features of the turbo mode have a fairly significant impact on performance. For example, according to the data obtained, the Core i7-6700K is faster than the Core i7-5775C by as much as 11 percent, but its advantage over the Core i7-4790K is very insignificant - it is only about 3 percent. At the same time, we cannot ignore the fact that the newest Skylake turns out to be significantly faster than processors Sandy generations Bridge and Ivy Bridge. Its advantage over the Core i7-2700K and Core i7-3770K reaches 33 and 28 percent, respectively.

A deeper understanding of the SYSmark 2014 1.5 results can be provided by familiarizing yourself with the performance estimates obtained in various system usage scenarios. The Office Productivity scenario simulates typical office work: writing texts, processing spreadsheets, working with email, and surfing the Internet. The script uses the following set of applications: Adobe Acrobat XI Pro, Google Chrome 32, Microsoft Excel 2013, Microsoft OneNote 2013, Microsoft Outlook 2013, Microsoft PowerPoint 2013, Microsoft Word 2013, WinZip Pro 17.5 Pro.



The Media Creation scenario simulates the creation of a commercial using pre-shot digital images and videos. For this purpose, the popular packages Adobe Photoshop CS6 Extended, Adobe Premiere Pro CS6 and Trimble SketchUp Pro 2013 are used.



The Data/Financial Analysis scenario is dedicated to statistical analysis and investment forecasting based on a certain financial model. The scenario uses large amounts of numerical data and two Microsoft applications Excel 2013 and WinZip Pro 17.5 Pro.



The results we obtained under various load scenarios qualitatively repeat the general indicators of SYSmark 2014 1.5. The only noteworthy fact is that the Core i7-4790K processor does not look outdated at all. It noticeably loses to the latest Core i7-6700K only in the Data/Financial Analysis calculation scenario, and in other cases it is either inferior to its successor by a very insignificant amount, or is generally faster. For example, a member of the Haswell family is ahead of the new Skylake in office applications. But the older processors, Core i7-2700K and Core i7-3770K, already look like somewhat outdated offerings. They lose to the new product in various types of tasks from 25 to 40 percent, and this, perhaps, is quite sufficient reason for the Core i7-6700K to be considered as a worthy replacement.

Gaming Performance

As is known, the performance of platforms equipped with high-performance processors is overwhelmingly modern games determined by the power of the graphics subsystem. That is why, when testing processors, we select the most processor-dependent games, and measure the number of frames twice. The first pass tests are carried out without turning on anti-aliasing and with settings that are far from the highest. Such settings allow you to evaluate how well processors perform with a gaming load in principle, and therefore allow you to speculate about how the tested computing platforms will behave in the future, when faster options for graphics accelerators appear on the market. The second pass is performed with realistic settings - when selecting FullHD resolution and the maximum level of full-screen anti-aliasing. In our opinion, such results are no less interesting, since they answer the frequently asked question about what level of gaming performance processors can provide right now - in modern conditions.

However, in this testing we assembled a powerful graphics subsystem based on the flagship NVIDIA video card GeForce GTX 980 Ti. And as a result, in some games the frame rate showed a dependence on processor performance, even in FullHD resolution.

Results in FullHD resolution with maximum quality settings


















Typically, the impact of processors on gaming performance, especially when it comes to powerful representatives of the Core i7 series, is insignificant. However, when comparing five Core i7s of different generations, the results are not at all uniform. Even at maximum graphics quality settings, the Core i7-6700K and Core i7-5775C deliver the best gaming performance, while the older Core i7 lags behind. Thus, the frame rate obtained in a system with a Core i7-6700K exceeds the performance of a system based on a Core i7-4770K by an unnoticeable one percent, but the Core i7-2700K and Core i7-3770K processors already seem to be a noticeably worse basis for a gaming system. Switching from a Core i7-2700K or Core i7-3770K to the latest Core i7-6700K gives an increase in fps of 5-7 percent, which can have a quite noticeable impact on the quality of the gameplay.

You can see all this much more clearly if you look at the gaming performance of processors at a reduced image quality, when the frame rate does not depend on the power of the graphics subsystem.

Results at reduced resolution


















The latest Core i7-6700K processor once again manages to show the highest performance among all Core i7s of the latest generations. Its superiority over the Core i7-5775C is about 5 percent, and over the Core i7-4690K – about 10 percent. There is nothing strange about this: games are quite sensitive to the speed of the memory subsystem, and it is in this area that serious improvements have been made in Skylake. But the superiority of the Core i7-6700K over the Core i7-2700K and Core i7-3770K is much more noticeable. The older Sandy Bridge lags behind the new product by 30-35 percent, and Ivy Bridge loses to it by about 20-30 percent. In other words, no matter how much Intel is criticized for improving its own processors too slowly, the company has been able to increase the speed of its CPUs by a third over the past five years, and this is a very tangible result.

Testing in real games is completed by the results of the popular synthetic benchmark Futuremark 3DMark.









The results produced by Futuremark 3DMark echo the gaming indicators. When the microarchitecture of Core i7 processors was transferred from Sandy Bridge to Ivy Bridge, 3DMark scores increased by 2 to 7 percent. The introduction of the Haswell design and the release of Devil’s Canyon processors added an additional 7-14 percent to the performance of older Core i7s. However, then the appearance of the Core i7-5775C, which has a relatively low clock frequency, somewhat rolled back the performance. And the newest Core i7-6700K, in fact, had to take the rap for two generations of microarchitecture at once. The increase in the final 3DMark rating for the new Skylake family processor compared to the Core i7-4790K was up to 7 percent. And in fact, this is not so much: after all, Haswell processors have been able to bring the most noticeable improvement in performance over the past five years. The latest generations of desktop processors are indeed somewhat disappointing.

Tests in applications

In Autodesk 3ds max 2016 we test the speed of the final rendering. Measures the time it takes to render a single frame of a standard Hummer scene at 1920x1080 resolution using the mental ray renderer.



We conduct another final rendering test using the popular free 3D graphics package Blender 2.75a. In it we measure the time it takes to build the final model from Blender Cycles Benchmark rev4.



To measure the speed of photorealistic 3D rendering, we used the Cinebench R15 test. Maxon recently updated its benchmark, and now it again allows you to evaluate the speed of various platforms when rendering in current versions of the Cinema 4D animation package.



Performance of websites and Internet applications built using modern technologies, is measured by us in new Microsoft browser Edge 20.10240.16384.0. For this purpose, a specialized test, WebXPRT 2015, is used, which implements algorithms actually used in Internet applications in HTML5 and JavaScript.



Graphics processing performance testing takes place in Adobe Photoshop CC 2015. The average execution time of the test script is measured, which is a creative reworking of the Retouch Artists Photoshop Speed ​​Test, which involves typical processing of four 24-megapixel images taken with a digital camera.



Due to numerous requests from amateur photographers, we tested performance in the graphics program Adobe Photoshop Lightroom 6.1. The test scenario involves post-processing and exporting to JPEG at 1920x1080 resolution and maximum quality of two hundred 12-megapixel RAW images taken with a Nikon D300 digital camera.



Adobe Premiere Pro CC 2015 tests performance for non-linear video editing. The time for rendering a Blu-Ray project containing HDV 1080p25 video with various effects applied is measured.



To measure the speed of processors when compressing information, we use WinRAR archiver 5.3, with the help of which we archive the folder with the maximum degree of compression various files total volume 1.7 GB.



To evaluate the speed of video transcoding into the H.264 format, the x264 FHD Benchmark 1.0.1 (64bit) test is used, based on measuring the time the x264 encoder encodes the source video into MPEG-4/AVC format with a resolution of 1920x1080@50fps and default settings. It should be noted that the results of this benchmark are of great practical importance, since the x264 encoder underlies numerous popular transcoding utilities, for example, HandBrake, MeGUI, VirtualDub, etc. We periodically update the encoder used for performance measurements, and this testing involved version r2538, which supports all modern instruction sets, including AVX2.



In addition, we have added a new x265 encoder to the list of test applications, designed for transcoding video into the promising H.265/HEVC format, which is a logical continuation of H.264 and is characterized by more efficient algorithms compression. To evaluate performance, a source 1080p@50FPS Y4M video file is used, which is transcoded into H.265 format with a medium profile. The release of the encoder version 1.7 took part in this testing.



The advantage of the Core i7-6700K over its earlier predecessors in various applications is beyond doubt. However, two types of problems have benefited most from the evolution that has occurred. Firstly, related to the processing of multimedia content, be it video or images. Secondly, the final rendering in 3D modeling and design packages. In general, in such cases, the Core i7-6700K outperforms the Core i7-2700K by at least 40-50 percent. And sometimes you can see a much more impressive improvement in speed. So, when transcoding video with the x265 codec, the latest Core i7-6700K delivers exactly twice as much performance as the old Core i7-2700K.

If we talk about the increase in the speed of performing resource-intensive tasks that the Core i7-6700K can provide compared to the Core i7-4790K, then there are no such impressive illustrations of the results of the work of Intel engineers. The maximum advantage of the new product is observed in Lightroom; here Skylake turned out to be one and a half times better. But this is rather an exception to the rule. In most multimedia tasks, the Core i7-6700K offers only a 10 percent improvement in performance compared to the Core i7-4790K. And under loads of a different nature, the difference in performance is even smaller or absent altogether.

Separately, I need to say a few words about the result shown by the Core i7-5775C. Due to its low clock speed, this processor is slower than the Core i7-4790K and Core i7-6700K. But do not forget that its key characteristic is efficiency. And he is quite capable of becoming one of best options in terms of specific productivity per watt of electricity consumed. We can easily verify this in the next section.

Energy consumption

Skylake processors are manufactured using a modern 14-nm process technology with second-generation 3D transistors, however, despite this, their thermal package has increased to 91 W. In other words, the new CPUs are not only “hotter” than the 65-watt Broadwell, but also exceed the calculated heat dissipation of Haswell, produced using 22-nm technology and coexisting within the 88-watt thermal package. The reason, obviously, is that the Skylake architecture was initially optimized not for high frequencies, but for energy efficiency and the possibility of use in mobile devices. Therefore, in order for desktop Skylake to receive acceptable clock frequencies lying in the vicinity of the 4-GHz mark, it was necessary to raise the supply voltage, which inevitably affected power consumption and heat dissipation.

However, Broadwell processors also did not have low operating voltages, so there is hope that the Skylake 91-watt thermal package was obtained due to some formal circumstances and, in fact, they will turn out to be no more voracious than their predecessors. Let's check!

The new Corsair RM850i ​​digital power supply we use in our test system allows us to monitor the consumed and output electrical power, which is what we use for measurements. The following graph shows the total system consumption (without monitor), measured “after” the power supply and representing the sum of the power consumption of all components involved in the system. The efficiency of the power supply itself is not taken into account in this case. To correctly assess energy consumption, we have activated turbo mode and all available energy-saving technologies.



At idle, a quantum leap in the efficiency of desktop platforms occurred with the release of Broadwell. The Core i7-5775C and Core i7-6700K feature noticeably lower idle consumption.



But under the load of video transcoding, the most economical CPU options are the Core i7-5775C and Core i7-3770K. The latest Core i7-6700K consumes more. His energy appetite is at the level of the older Sandy Bridge. True, the new product, unlike Sandy Bridge, has support for AVX2 instructions, which require quite significant energy costs.

The following diagram shows the maximum consumption under load created by the 64-bit version of the LinX 0.6.5 utility with support for the AVX2 instruction set, which is based on the Linpack package, which has exorbitant energy appetites.



Once again, the Broadwell generation processor shows miracles of energy efficiency. However, if you look at how much power the Core i7-6700K consumes, it becomes clear that progress in microarchitectures has bypassed the energy efficiency of desktop CPUs. Yes, in the mobile segment, with the release of Skylake, new offerings have emerged with extremely tempting performance-to-power ratios, but the latest desktop processors continue to consume about the same amount as their predecessors consumed five years before today.

conclusions

Having tested the latest Core i7-6700K and compared it with several generations of previous CPUs, we again come to the disappointing conclusion that Intel continues to follow its unspoken principles and is not too keen on increasing the performance of desktop processors aimed at high-performance systems. And if, compared to the older Broadwell, the new product offers approximately a 15% improvement in performance due to significantly better clock frequencies, then in comparison with the older, but faster Haswell, it no longer seems as progressive. The difference in performance between the Core i7-6700K and Core i7-4790K, despite the fact that these processors are separated by two generations of microarchitecture, does not exceed 5-10 percent. And this is very little for the older desktop Skylake to be unambiguously recommended for updating existing LGA 1150 systems.

However, it would take a long time to get used to such minor steps by Intel in increasing the speed of processors for desktop systems. The increase in performance of new solutions, which lies approximately within these limits, is a long-established tradition. There have been no revolutionary changes in the computing performance of Intel CPUs aimed at desktop PCs for a very long time. And the reasons for this are quite clear: the company’s engineers are busy optimizing the microarchitectures being developed for mobile applications and first of all think about energy efficiency. Intel's success in adapting its own architectures for use in thin and light devices is undeniable, but adherents of classic desktops can only be content with small increases in performance, which, fortunately, have not yet completely disappeared.

However, this does not mean that the Core i7-6700K can only be recommended for new systems. Owners of configurations based on the LGA 1155 platform with processors of the Sandy Bridge and Ivy Bridge generations may well be thinking about upgrading their computers. In comparison with the Core i7-2700K and Core i7-3770K, the new Core i7-6700K looks very good - its weighted average superiority over such predecessors is estimated at 30-40 percent. In addition, processors with the Skylake microarchitecture can boast support for the AVX2 instruction set, which has now found widespread use in multimedia applications, and thanks to this, in some cases the Core i7-6700K turns out to be much faster. So, when transcoding video, we even saw cases where the Core i7-6700K was more than twice as fast as the Core i7-2700K!

Have Skylake processors And whole line other advantages associated with the introduction of the new LGA 1151 platform accompanying them. And the point is not so much in the support for DDR4 memory that appeared in it, but in the fact that the new logic sets of the hundredth series finally received a really high-speed connection to the processor and support for a large number of PCI Express 3.0 lines. As a result, advanced LGA 1151 systems boast numerous fast interfaces for connecting drives and external devices that are not subject to any artificial bandwidth limitations.

Plus, when assessing the prospects of the LGA 1151 platform and Skylake processors, you need to keep one more thing in mind. Intel will not rush to bring the next generation of processors, known as Kaby Lake, to market. If you believe the available information, representatives of this series of processors in versions for desktop computers will appear on the market only in 2017. So Skylake will be with us for a long time, and the system built on it will be able to remain relevant for a very long period of time.

Intel strictly follows its well-known “tick-tock” principle and on April 23, 2012 introduced a new generation of microprocessors. For those of our readers who find the “clock” metaphor not entirely clear, let us reveal its meaning. Intel in its production process is guided by an alternating push-pull cycle: first it debugs the technological process with advanced standards, and then develops a new microarchitecture for it. Each cycle is accompanied by the release of another family of microprocessors.

The Sandy Bridge generation brought significant microarchitectural changes, raising the level of performance by new level and completed the development cycle for 32 nm standards. It's time for a new tick. And on April 23, 2012, the company introduced Ivy Bridge processors, which are based on proven operating logic transferred to a more sophisticated technological process. However, this does not mean that there is nothing new in processors. On the contrary, the new products contain some very significant improvements that many have been waiting for.

A test copy of the new Intel Core i7-3770K processor was sent to our editorial office. The early release of motherboards based on the seventh series chipsets, including those designed for use with Ivy Bridge, allowed us to prepare an advanced test platform. We are pleased to present you today with a review of Ivy Bridge processors and testing results of the most productive of them.

Microarchitecture and features

The new products have received several new functions, which, despite the absence of fundamental changes in the architecture, are important and long-awaited. But, in our opinion, it’s worth starting with the basic and iconic - the transition to a new technological process. Each new milestone in reducing the production standards of microelectronics is achieved with increasing difficulty for objective reasons. And here we must pay tribute to Intel, which is trying to maintain the pace of implementation of increasingly “fine” technical processes - technology changes occur approximately every two years.

The transition to 22-nanometer standards required the introduction of a new type of transistor - the so-called 3D transistors. Their features, along with the overall standard reduction in losses when reducing standards, provide greater energy efficiency. The thermal package (TDP) of the new processors is stated at 77 W, even for older models. True, there is a rumor circulating online about a possible increase in the thermal package of the oldest model, Core i7-3770K, to 95 W. But we have yet to receive confirmation of this information.

Let's take a closer look at the crystal. Despite the external similarity of the layout of the blocks with Sandy Bridge processors, the total number of transistors has increased by more than 200 thousand (1.16 billion in SB and 1.4 billion in IVB). At the same time, the crystal area decreased significantly - from 216 mm 2 to 160 mm 2.

Crystal Sandy Bridge

Crystal Ivy Bridge

From left to right: Core i7-3930K, Core i5-2500K, Core i7-3770K

and the other side

What were the additional transistors used for? The main changes on the chip affected the built-in graphics core. If in the previous generation processors we saw 12 computing units (stream processors), then in the new ones there are 16. Hardware support for the modern graphics API- DX11, OpenCL 1.1, OpenGL 3.1. Output is now possible on three monitors at once (only two were supported in SB). The company also promises a significant increase in the performance of the Quick Sync hardware decoder.

The most important from the point of view of prospects is the appearance of the PCI Express 3.0 controller. Processors designed for LGA socket 2011 has already acquired it, and video cards are already being sold with all their might. Now this advanced interface has become available on general-purpose platforms.

The RAM controller has now learned to work normally with DDR3 1600 MHz memory. And for overclocking purposes in K-series processors, the memory multipliers allow you to get an impressive 2667. And the ceiling of the processor core multiplier was raised to 63.

What should especially please the consumer is the almost complete backward compatibility at the level of processors and system logic. That is, new processors can be inserted into motherboards with sixth series chipsets after updating the BIOS. The word “practically” expresses the exclusion of this possibility for the Q65, Q67, B65 chipsets.

New performance leader Core i7-3770K

We got a tasty morsel for testing - the top “stone” from the new line, Core i7-3770K. In most basic characteristics, the model is identical to the previous top-end microprocessor for the LGA 1155 platform - Core i7-2700K. Pay attention to the data in the comparison table.

Characteristic Core i7-3770K Core i7-2700K
Number of cores/threads 4/8 4/8
Rated frequency, GHz 3,5 3,5
Frequency in Turbo mode, GHz 3,9 3,9
Maximum multiplier 63 59
L3 cache size, MB 8 8
Standard frequency of RAM operation, MHz 1600 1333
Maximum operating memory frequency (in overclocking mode), MHz 2667 2133
PCI Express lanes rev. 3.0, 16 rev. 2.0, 16
Graphics core operating frequencies, MHz 650-1150 850-1350
Number of GPU computing units 16 12
Graphics API support DX 11, OpenGL 3.1, OpenCL 1.1 DX 10.1, OpenGL 3.0
Number of supported displays, pcs. 3 2
Graphics core multiplier 57 60

Same frequencies, same cache size. Quantitative indicators regarding the normal operating mode have changed only for the graphics core - 16 computing units instead of 12, slightly reduced operating frequencies.

When idle, the new processor reduces the frequency to 1600 MHz

Cache memory

Now let's look at the new product in action.

Test bench

The most interesting comparison will be the Core i7-3770K with its younger “brother” from the second generation. At first glance, the increase in computing performance should be practically absent, because the new processors are based on the same Sandy Bridge microarchitecture. Unless some cosmetic changes can bring an insignificant increase. The difference between the two processors should show up in graphics benchmarks, since the Core i7-3770K has more processing units.

We also decided to add to the comparison the junior processor of the LGA 2011 platform, Core i7-3820. It is clear that this model will lose. However, the difference is important to us: the rationality of purchasing the 3820 model could have been questioned before, but now it may turn out to be completely pointless.

The table shows the equipment we used in testing.

Name Ivy Bridge Sandy Bridge Sandy Bridge-E
Motherboard Asus P8Z77-V Pro ASRock Z68 Extreme 4 ASUS P9X79 Deluxe
CPU Core i7-3770K Core i7-2700K Core i7-3820
Memory ADATA XPG Gaming v2.0, 2000 MHz, 4 x 2 GB ADATA XPG Gaming v2.0, 2000 MHz, 4 x 2 GB
SSD Intel SSD 520 Series, 60 GB Intel SSD 520 Series, 60 GB
Video card Intel HD Graphics 4000 Intel HD Graphics 3000 Palit GeForce GT430, 2 GB
Cooler NZXT Havik 120 NZXT Havik 120 NZXT Havik 120
BP Huntkey Jumper 450B, 450 W Huntkey Jumper 450B, 450 W Huntkey Jumper 450B, 450 W

Due to the fact that Sandy Bridge-E processors do not have an integrated video core, we used a low-power discrete solution from our laboratory when testing the LGA 2011 platform.

Overclocking

Enthusiasts had high hopes for the overclocking capabilities of Ivy Bridge processors. And the capabilities of models with the “K” index have been somewhat expanded: multiplier thresholds have become higher, frequency control has been improved. We set about overclocking with enthusiasm and immediately began to feel for frequencies in the region of 5 GHz. But we were disappointed: even with a significant increase in voltage (20-25% to the default values), we only managed to reach 4.7 GHz. Ito, when warming up using Prime, after a while the system rebooted.

The temperature remained within acceptable limits and did not exceed 80 degrees, so overheating is unlikely to be the reason for such a low result. On the one hand, one processor is not representative of the entire line. Overclocking is very specific to each instance. On the other hand, the 4.8 GHz bar was reached by many processors of the previous generation. Until statistics on the acceleration of new stones have accumulated, we can assume that we got a completely unsuccessful copy. But this result certainly needs to be taken into account.

The work was successful with a multiplier of 46 at a frequency of 4.6 GHz.

Separately, we paid attention to overclocking the built-in graphics core. Its operating frequencies are reduced in comparison with HD Graphics 3000 in Sandy Bridge processors. At first we raised the maximum operating frequency to 1600 MHz, but the system refused to work stably. At 1550 MHz, 3DMark 11 scenes were full of artifacts. Stable operation was achieved at a maximum graphics core frequency of 1500 MHz. This result can be called very good.

We presented the performance results of stable overclocking below in the graphs.

Test results

We tested the new processor in several basic scenarios reflecting the overall level of performance. As we continue to explore Ivy Bridge, we plan to add new data to this section.

IN computing tasks for general purposes, the advantage of the new processors over the previous generation is small. But in graphics processing, Ivy Bridge has gained a significant advantage. The Core i7-3770K outperforms the Core i7-3820 in terms of computing power almost everywhere. Of course, the cost of processors is selected accordingly - the Ivy Bridge model costs a little more. But motherboards for LGA 2011 are usually noticeably more expensive. And if you take into account the cost of the entire platform, the purchase of the 3820 becomes questionable.

Conclusion

What conclusion can be drawn from the results obtained? It was reckless to expect any significant increase in system-wide performance - after all, we are dealing with the same Sandy Bridge architecture. The new processors still have a slight advantage. A positive aspect of the new products is the noticeably increased graphics performance and reduced heat dissipation due to the new technological process. Such “buns” as support for PCI Express 3.0 and faster memory are of course also pleasant.

There is also some negative aftertaste. Yes, we all understand well that it is wrong to judge the overclocking potential of new processors based on one copy. But the long anticipation, fueled everywhere on the Internet, impatience gave way to a feeling of disappointment. And it is by no means groundless: 4.6 GHz, “+700” MHz to turbo mode is not the result we expected. Well, let's wait for the statistics.

So far, the conclusion is this: if you already own the productive Sandy Bridge platform, then it doesn’t make much sense to upgrade it. If you're considering purchasing a new computer, Ivy Bridge deserves consideration. And the third option, in which the new Intel processors will probably be in the most advantageous position: if you are planning to buy a laptop/ultrabook, you should wait for the release of models on the updated platform. It is in the segment of mobile devices that Ivy Bridge seems to be the most attractive solution due to its increased energy efficiency.


Intel's “tick-tock” principle, which describes the ideology of alternately introducing new microarchitectures and introducing more subtle technical processes, continues to operate. The company initially promised to release new products every year, and it must be said that in general it adheres to this plan. Last year we were presented with the Sandy Bridge microarchitecture, which significantly increased the speed of modern computers, and now Intel is launching the Ivy Bridge project - an improved processor design that involves the use of new manufacturing technology with 22-nm standards and innovative three-dimensional transistors.

However, weakening competition in the high-performance processor market still cannot but affect the pace of progress. The pendulum of Intel's concept is gradually slowing down, and if Sandy Bridge was presented at the very beginning of 2011, then we had to wait until the end of April for the announcement of Ivy Bridge. However, Intel has a good excuse: the new generation of processors is not a simple cosmetic alteration of the old core taking into account new technological standards. Engineers have made a number of significant changes to the microarchitecture, so Ivy Bridge is proposed to be counted not as one “tick”, but as a “tick” and another “half a dollar” in addition.

Is it possible to accept this explanation for the delay? It all depends on from what point of view we evaluate modern processors in general. Most of the changes that have occurred in the design of Ivy Bridge concern not the computing cores, but the graphics core. Therefore, for traditional CPUs this is a clear “tick”. However, if we consider that the paradigm of heterogeneous processors proposed by AMD turned out to be another prophecy (they, unlike microarchitectures, AMD are clearly succeeding), then Ivy Bridge can pull off a full-fledged “so”.

So it turns out that Intel’s new product is a very multifaceted and contradictory thing. Desktop enthusiasts who see Ivy Bridge as a possible incentive to upgrade their systems will likely be disappointed by the new product. For them, there is nothing particularly attractive in it, since a simple transition to a new production technology in itself does not bring anything special. Moreover, the “refinement” of the technical process has long resulted not in an increase in CPU clock frequencies, but in a decrease in their heat generation.

But for users of various kinds of mobile or compact systems, Ivy Bridge promises a very good deal. Finally, representatives of the Intel Core series can be thought of as full-fledged hybrid processors- APUs that provide good 3D performance, are compatible with DirectX 11 and are capable of performing GPGPU calculations. It is not without reason that Intel directly associates the rise of ultrabooks with the release of Ivy Bridge - the new products fit almost perfectly into this class of computers.

However, in this material we will position ourselves as enthusiasts of the old school. All sorts of ultra-compact computers are children's toys; give us traditional computing systems that inspire respect both in their appearance and in their level of performance. Can Ivy Bridge fit seamlessly into such an ecosystem? Let's try to answer this question.

⇡ Ivy Bridge microarchitecture: a brief overview

Although we said that the microarchitecture of Ivy Bridge has significant differences from its predecessor, Sandy Bridge, it is easy to see the close relationship between them. At the highest level, in general structure With the new processors, absolutely nothing has changed; all the improvements made are in the details. A detailed description of the innovations can be found in a special material, here we will provide short review key points.

Perhaps we should start with the fact that the appearance of new Ivy Bridge processors does not mean a change of platform. These CPUs use the same LGA1155 processor socket as their predecessors and are fully compatible with your existing motherboard fleet. Intel coincided with the release of Ivy Bridge with the appearance of a family of seventh series chipsets led by Z77, but the use of boards based on it along with new processors is not necessary. To connect Ivy Bridge to the system logic set, the same DMI 2.0 bus with a bandwidth of 20 Gbit/s is used as in the case of Sandy Bridge. Therefore, the new processors work perfectly in any motherboard with an LGA1155 socket.

Like Sandy Bridge, the Ivy Bridge family of processors consists of the same set of functional units. They contain two or four computing cores equipped with an individual L2 cache with a capacity of 256 KB; graphics core; shared third-level cache memory up to 8 MB; dual-channel memory controller with support for DDR3 SDRAM; PCI Express graphics bus controller; as well as a system agent responsible for the operation of Turbo technology and implementing auxiliary interfaces. All components of the Ivy Bridge are connected via the Ring Bus - there is nothing new here either.

If we talk about the differences between Ivy Bridge and its predecessors, then this is, first of all, the new 22 nm production technology, used by the manufacturer for the manufacture of semiconductor crystals. Moreover, the novelty in this case lies not only in “refined” standards, but also in a fundamental change in the internal design of transistors. Intel characterizes the new transistors as having a three-dimensional design (Tri-Gate), which in practice results in the installation of a high vertical fin coated with High-K dielectric on the silicon substrate, cutting into the gate.

Considering that one of the main goals of the release of Ivy Bridge is their massive penetration into ultra-mobile computers, such an improvement in efficiency is by no means unnecessary. In addition, Intel developers have enhanced the achieved effect by introducing new energy-saving technologies: deeper sleep states, the ability to disconnect the memory controller from the power lines, and support for DDR3L SDRAM with reduced voltage. There was also such a thing as configurable TDP. As a result, among the various modifications of Ivy Bridge, a whole class of ULV products appears with a 17-W thermal package, reduced if necessary to 14 W.

The introduction of new production technology automatically means a reduction in the size of semiconductor crystals. Thus, the quad-core Ivy Bridge crystal has an area of ​​160 square meters. mm is 35% less than the area of ​​Sandy Bridge.

At the same time, the complexity of the new processor has increased significantly; it consists of 1.4 billion transistors, while the number of transistors in predecessor processors of the same class was 995 million.

CPU Technical process Number of Cores L3 cache Number of transistors Core area
AMD Bulldozer 32 nm 8 8 MB 1.2 billion 315 sq. mm
AMD Llano 32 nm 4 + GPU No 1.45 billion 228 sq. mm
Intel Ivy Bridge 22 nm 4 + GPU 8 MB 1.4 billion 160 sq. mm
Intel Sandy Bridge E (6C) 32 nm 6 15 MB 2.27 billion 435 sq. mm
Intel Sandy Bridge E (4C) 32 nm 4 10 MB 1.27 billion 294 sq. mm
Intel Sandy Bridge 32 nm 4 + GPU 8 MB 995 million 216 sq. mm

The most common way to use additional transistor budget is to increase the volume of cache memory. However, there is nothing like that in Ivy Bridge; these processors have exactly the same capacity and operating pattern of L1-, L2- and L3-caches as Sandy Bridge. Most of the additional transistors went into the built-in graphics core - in Ivy Bridge it differs from the graphics of the previous generation, Intel HD Graphics 3000/2000, a little less than completely.

The new video core, called HD Graphics 4000, can finally be called modern in every sense of the word. The main achievement of the developers is that with the new version of graphics they were able to achieve compliance with the requirements of DirectX 11 along with DirectCompute and Shader Model 5.0, and also opened the possibility of GPGPU calculations through the OpenCL 1.1 interface. In addition, HD Graphics 4000 now has support for three independent monitors, and the level of performance has increased significantly due to the addition of additional execution units: there are now 16 instead of 12. Therefore, Intel believes that the number of systems using the company's processors without an external video card will increase significantly, however This will happen mainly in the mobile market segment.

But for desktop users, the graphics core is not very interesting. They expect much more improvements in the microarchitecture of the computing part, which can affect performance. And here the new processors of the Ivy Bridge generation have nothing special to brag about. The possible increase in performance when operating Ivy Bridge and Sandy Bridge at the same clock frequency, even according to the most optimistic official data, does not exceed 5%. The fact is that the computing cores in the new processors have not been redesigned, and there are only minor cosmetic improvements. Thus, in Ivy Bridge, the work of integer and real division instructions has been accelerated, taking into account the use of a register file, the execution of instructions for transferring data between registers has been optimized, in addition, dynamic rather than static distribution of internal buffer resources between threads has been implemented using Hyper-Threading technology.

To evaluate practical effect these changes, we used synthetic benchmarks from the SiSoft Sandra package, which implement simple algorithms that allow us to evaluate the performance of processors when performing various operations. As part of this preliminary test, we compared the speed of quad-core Sandy Bridge and Ivy Bridge, operating at the same frequency of 4.0 GHz without using Hyper-Threading technology.

Sandy Bridge
4C/4T 4.0 GHz
Ivy Bridge
4C/4T 4.0 GHz
Advantage
new microarchitecture
Processor Arithmetic
Dhrystone SSE4.2 100,82 100,86 0,0%
Whetstone SSE3 58,2 59,92 +3,0%
Processor Multi-Media
Integer x16 AVX 195,13 195,82 +0,4%
Float x16 AVX 235,87 239,11 +1,4%
Double x8 AVX 135,07 136,07 +0,7%
Float/Double x8 AVX 178,49 180,38 +1,1%
Cryptography
AES-256-ECB AES 08,4 08,7 +0,4%
SHA2-256AVX 01,1 1,24 +12,7%

The results are indeed not very encouraging. Improvements to the microarchitecture of computing cores in Ivy Bridge result in an almost imperceptible performance increase.

Therefore, we think that the changes that affected the operation of adjacent intraprocessor interfaces - memory and the PCI Express bus - are much more interesting for desktop system users. Thus, the PCI Express controller built into Ivy Bridge received support for the third version of this specification, which automatically (subject to the use of compatible end devices) means an almost double increase in bus throughput compared to PCI Express 2.0 - up to 8 gigatransactions per second.

At the same time, the sixteen PCI Express lanes supported by Ivy Bridge can be divided into two or three parts - according to the scheme 8x + 8x or 8x + 4x + 4x. The latter option may be interesting for systems with three video cards, especially since PCI Express 3.0 is quite capable of providing acceptable bandwidth for video cards even when using only four lanes.

As for the Ivy Bridge memory controller, its basic characteristics have not changed compared to what we saw in Sandy Bridge. It can also work with dual-channel DDR3 SDRAM. But at the same time, Intel engineers made certain steps towards overclocking memory manufacturers and added the ability to more flexible adjustment of the frequency mode to the processor. Firstly, the maximum supported frequency is now DDR3-2800 SDRAM. Secondly, to change the memory frequency you can now use two clocking modes - in increments of 200 or 266 MHz.

The practical speed of the memory controller also changed slightly. This is also confirmed by benchmarks. For example, below we present the AIDA64 Cache & Memory Benchmark taken on a system with Sandy Bridge and Ivy Bridge processors running at 4.0 GHz.

Sandy Bridge 4.0 GHz, DDR3-1867 (9-11-9-30-1T)

Ivy Bridge 4.0 GHz, DDR3-1867 (9-11-9-30-1T)

The Ivy Bridge generation processor provides slightly lower practical memory latency, but this advantage is minimal. At the same time, the test reveals another interesting detail: the L3 cache of the new processors has allegedly become noticeably faster. However, we have to disappoint - in this case, the difference in the AIDA64 Cache & Memory Benchmark is not caused by an improvement in the speed characteristics of the L3 cache, but by changes in the execution rate of instructions included in the test algorithm. In fact, the latency of the Ivy Bridge L3 cache is 24 cycles - and this is one cycle more than the latency of the third level cache of Sandy Bridge processors. In other words, the cache in new processors began to work even a little slower than before, but in practical tasks this is not noticeable.

⇡ Ivy Bridge processors for desktops, first run

Production problems that arise almost every time when it comes to introducing any fundamental innovations have not yet allowed Intel to flood the market with various modifications of Ivy Bridge. Therefore, the implementation of the new design is happening in stages: today only quad-core modifications of new processors belonging to the Core i7 and Core i5 families are announced.

There are only five models for desktop systems; the following table reveals their specifications.

To be honest, familiarity with the given characteristics does not add much optimism about the new processors. Compared to Sandy Bridge, we see no progress in the number of cores, clock speeds, or cache memory sizes. And since the new microarchitecture practically does not increase the number of instructions processed per clock cycle, it becomes clear: according to traditional processor concepts the lineup Ivy Bridge is an ordinary evolutionary update of Sandy Bridge. There are only two positive aspects: a graphics core that is attractive to certain categories of users and reduced heat generation.


By the way, there is a very funny incident associated with the TDP characteristic. Although the official documentation lists the typical heat dissipation of new processors as 77 W, on boxes with actual products Intel writes “95 W”. This inconsistency has already given rise to a lot of ridiculous judgments, but in fact the explanation is very simple. In reality, the observed heat dissipation does not go beyond the 77-watt limit, but this TDP value has not been used before, so Intel decided not to complicate the lives of users, component manufacturers and system assemblers and will indicate a well-known number on the boxes. In addition, as we managed to find out from company representatives, in the future it is possible to release higher-speed Ivy Bridge models that will bring the real and formal TDP to a single denominator.

There are no fundamental changes in the general structure of the proposals. Older LGA1155 processors new formation target advanced users and have the letter “K” in their index. Such offers have a free multiplier and are open to overclocking experiments. Other Core i7 and Core i5 models, as before, do not allow increasing the multiplication factor by more than four units.

The lack of significant revolutionary changes in the computing performance of the new processors did not deter Intel from assigning them numbers from the three thousandth series. Thus, in the structure of Intel’s offerings, Ivy Bridge for LGA1155 systems becomes a Sandy Bridge-E processor for LGA 2011 and displaces the two thousandth Sandy Bridge. The prices also indicate this. The new products are no more expensive than the Core from a year ago, so the usual course of processor life, when generations of Intel CPUs successively replace each other, will not be disrupted this time either.

For testing, Intel provided us with samples of older processors in updated Core lines third generation: Core i7-3770K and Core i5-3570K.

Please note that 22nm manufacturing technology is clearly visible through the practical aspects of operating new products. Their operating voltage has dropped relative to Sandy Bridge by about 15-20 percent and is now around 1.0 V. This is one of the main reasons for the lower heat dissipation.

Thanks to Enhanced Intel SpeedStep and C1E power-saving technologies, the Ivy Bridge voltage drops to approximately 0.9 V and the frequency drops to 1.6 GHz when idle.