Cách lắp ráp thanh ghi thay đổi bằng cổng logic. Sử dụng thanh ghi dịch trong thiết bị hiển thị. STCP – đầu vào chốt dữ liệu

1. Mục lục

2. Lời giới thiệu ……….…….……..…… 2

3. Rà soát nguồn văn học …………………… 3

3.1. Thông tin chung về sổ đăng ký………….. 3

3.2. Thông tin chung về các tác nhân kích thích……………………….. 6

3.3. Các thanh ghi dịch chuyển……………………….. 12

3.4. Thanh ghi phổ quát……………………….. 20

4. Xây dựng mạch thanh ghi dịch chuyển ………………………… 24

4.1. Dữ liệu ban đầu ……………………………………………… 24

4.2. Quy trình xây dựng sổ đăng ký ca……..……… 24

4.3. Phát triển thanh ghi dịch chuyển bốn pha………….. 25

5. Kết luận……………………………………………………………. 27

6. Danh mục tài liệu tham khảo ……………………. 28


2. Giới thiệu

Đăng ký– các thành phần phổ biến nhất của thiết bị kỹ thuật số. Chúng hoạt động dựa trên nhiều biến số liên quan tạo nên một từ. Một số thao tác được thực hiện trên các từ: nhận, phát, lưu trữ, dịch chuyển trong lưới bit, các thao tác logic theo bit.

Các thanh ghi dịch chuyển (tuần tự) được sử dụng để dịch chuyển N-số bit theo một hướng. Ngoài ra, chúng có thể được sử dụng để dịch chuyển thông tin không phải số.

Các thanh ghi dịch chuyển được sử dụng làm thiết bị lưu trữ, làm bộ chuyển đổi mã nối tiếp sang song song, làm thiết bị trễ và bộ đếm xung (tuy nhiên, việc sử dụng các thanh ghi dịch chuyển làm bộ đếm khá kinh tế).

3. Rà soát các nguồn tài liệu

3.1. Thông tin chung về sổ đăng ký

Các thanh ghi bao gồm các mạch bit chứa các flip-flop và thường là các phần tử logic. Họ hoạt động như một đơn vị duy nhất.

Theo số lượng đường truyền thay đổi, các thanh ghi được chia thành một pha và paraphase, và theo hệ thống đồng bộ hóa thành một chu kỳ, kéo đẩy và đa chu kỳ. Tuy nhiên, đặc điểm phân loại chính là phương thức nhận và phát dữ liệu. Trên cơ sở này người ta phân biệt song song (tĩnh)đăng ký, tuần tự (chuyển dịch)nối tiếp song song .

Trong các thanh ghi song song, các từ được nhận và xuất ra ở tất cả các bit cùng một lúc. Chúng lưu trữ các từ có thể chịu sự biến đổi logic theo bit.

Trong các thanh ghi tuần tự, các từ được nhận và xuất ra từng chữ số. Chúng được gọi là dịch chuyển, vì tín hiệu định thời khi nhập và xuất các từ sẽ di chuyển chúng trong lưới bit. Thanh ghi dịch chuyển có thể không đảo ngược (với dịch chuyển một chiều) hoặc có thể đảo ngược (với khả năng dịch chuyển theo cả hai hướng).

Các thanh ghi nối tiếp song song có đầu vào và đầu ra thuộc cả loại nối tiếp và song song. Có các tùy chọn với đầu vào nối tiếp và đầu ra song song (SIPO, Đầu vào nối tiếp – Đầu ra song song), đầu vào song song và đầu ra nối tiếp (PISO, Đầu vào song song – Đầu ra nối tiếp), cũng như các tùy chọn có khả năng kết hợp bất kỳ phương thức nhận và phát hành nào. từ.

Trong các thanh ghi song song (tĩnh), các mạch bit không giao tiếp với nhau. Điểm chung của các bit thường là mạch đồng hồ, mạch đặt lại/đặt, quyền đầu ra hoặc nhận, tức là mạch điều khiển. Một mạch ví dụ về thanh ghi tĩnh được xây dựng trên flip-flop loại D với đầu vào động trực tiếp, có đầu vào đặt lại R và đầu ra trạng thái thứ ba được điều khiển bởi tín hiệu EZ, được hiển thị trong Hình 1 .

Bức tranh 1. Sơ đồ thanh ghi tĩnh (a) và ký hiệu đồ họa thông thường của nó (b)

Công nghệ mạch hiện đại được đặc trưng bởi việc xây dựng các thanh ghi trên flip-flop loại D, chủ yếu có điều khiển động. Nhiều người có đầu ra với trạng thái thứ ba. Một số thanh ghi được phân loại là thanh ghi đệm, nghĩa là chúng được thiết kế để hoạt động với tải hoạt động điện dung lớn và/hoặc điện trở thấp. Điều này đảm bảo hoạt động của chúng trực tiếp trên đường cao tốc (không có mạch giao diện bổ sung).

Các thanh ghi tĩnh được sử dụng để tạo các khối bộ nhớ thanh ghi—các tập tin thanh ghi.

Các chức năng chính của register:

1) Lưu trữ thông tin,

2) Tiếp nhận thông tin,

3) Cung cấp thông tin,

4) Sự thay đổi thông tin,

5) Chuyển đổi mã,

6) Đặt số mong muốn thành 0 hoặc một,

7) Các phép toán logic theo bit: tách, kết hợp, cộng modulo 2.

3.2. Hiểu các yếu tố kích hoạt

Gây nên - một loại lớn các thiết bị điện cho phép nó duy trì ở một trong hai (hoặc nhiều) trạng thái ổn định trong thời gian dài và thay thế chúng dưới tác động của tín hiệu bên ngoài (là kết quả của quá trình tái tạo (quá trình nhất thời trong mạch điện được bao phủ) bởi một PIC)).

Bộ kích hoạt là một thiết bị logic xung có bộ nhớ (phần tử bộ nhớ – chốt).

Có hơn một chục kích hoạt tích hợp khác nhau. Phân loại của họ dựa trên:

Dấu hiệu chức năng

Một phương pháp để ghi thông tin vào trigger.

Dựa trên đặc điểm chức năng của chúng, có trình kích hoạt T, trình kích hoạt JK, trình kích hoạt RS, trình kích hoạt D, trình kích hoạt kết hợp (TV, DV, E, R), v.v.

Dựa trên phương pháp ghi (nhận) thông tin, chúng được phân biệt:

8) Trình kích hoạt không đồng bộ:

a) có độ trễ bên trong;

b) được điều khiển bởi mức xung đầu vào;

9) Kích hoạt đồng bộ (có xung nhịp):

a) có độ trễ bên trong;

b) được điều khiển bởi mức xung định thời:

Hành động một chu kỳ (một giai đoạn);

Nhiều hành động.

Thông tin chỉ được ghi vào bộ kích hoạt có xung nhịp khi áp dụng xung đồng hồ cho phép. Các bộ kích hoạt như vậy được chia thành điều khiển theo mức (cần có một mức tín hiệu nhất định để hoạt động) và điều khiển cạnh (không phụ thuộc vào mức tín hiệu, sự hiện diện của nó rất quan trọng) của xung thời gian. Xung đồng hồ đôi khi còn được gọi là tín hiệu đồng bộ, điều hành hoặc lệnh (thường được ký hiệu trong sơ đồ bằng chữ C - Clock).

Đầu vào động có thể là trực tiếp hoặc nghịch đảo. Điều khiển động trực tiếp cho phép chuyển đổi khi tín hiệu đồng hồ thay đổi từ 0 sang một (). Điều khiển động nghịch đảo - thay đổi tín hiệu đồng hồ từ 1 thành 0 (). Điều khiển cạnh của xung định thời: Điều khiển độ rơi của xung định thời: Điều khiển mức trên của xung định thời:

Kiểm soát mức độ thấp hơn của xung thời gian:

Các bộ kích hoạt theo đồng hồ có độ trễ bên trong (được kích hoạt khi tín hiệu kết thúc), theo quy luật, là một đầu cuối. Kích hoạt nhiều chu kỳ kích hoạt sau N-nogo thôi thúc.

Bộ kích hoạt RS có hai đầu vào thông tin: S (Đặt) và R (Đặt lại). Không được phép áp dụng đồng thời tín hiệu S và R. TRÊN Hình 2 hiển thị bộ kích hoạt RS đồng bộ được kích hoạt bởi cạnh của tín hiệu định thời.

Hình 2. Kích hoạt RS đồng bộ

Ngoài đầu vào, bộ kích hoạt RS đơn giản nhất còn có hai đầu ra. Đầu ra chỉ ra Q

. Lối ra Q gọi là trực tiếp, a - nghịch đảo. Các mức điện áp ở cả hai đầu ra nghịch đảo lẫn nhau: nếu tín hiệu Q= 1 thì = 0, hoặc nếu Q= 0 thì = 1. Cũng cần lưu ý rằng trạng thái của trigger trong đó Q= 1, a = 0 gọi là đơn vị. Khi kích hoạt bằng không Q= 0 và = 1. Khi tín hiệu đến đầu vào của bộ kích hoạt, tùy thuộc vào trạng thái của nó, quá trình chuyển đổi sẽ xảy ra hoặc trạng thái ban đầu được giữ nguyên.

Hình 3. - kích hoạt: ký hiệu đồ họa thông thường của nó và một mạch có hai phần tử logic VÀ-KHÔNG

Đăng ký. Đăng kí ca

Thanh ghi là một thiết bị được làm bằng flip-flop để thực hiện một loạt các hành động với số nhị phân. Đối với những người chưa biết trình kích hoạt là gì, chúng tôi khuyên bạn nên làm quen với trình kích hoạt RS đơn giản nhất.

Chức năng đơn giản nhất của sổ đăng ký là ghi nhớ một số và lưu giữ nó trong thời gian dài. Những thiết bị này được gọi là thanh ghi lưu trữ. Đây là một ví dụ đơn giản.

Số cần lưu được cung cấp cho đầu vào D0 - D2. Ngay khi xung đồng bộ xuất hiện ở đầu vào C, số đó sẽ được ghi vào bộ kích hoạt, thay đổi trạng thái của chúng. Hình vẽ cho thấy một thanh ghi lưu trữ ba bit. Khi số 111 2 được cung cấp cho các đầu vào, nó cũng sẽ xuất hiện trên các đầu ra trực tiếp của bộ kích hoạt ( Q0 - Q2). Ở đầu ra nghịch đảo ( Q0 - Q2) đương nhiên sẽ là 000 2 . Tín hiệu R ( Cài lại) hoặc reset, flip-flop được đặt về trạng thái 0.

Thông thường các thanh ghi bao gồm 4, 8 hoặc 16 flip-flop được sử dụng. Hình ảnh của thanh ghi bốn bit trên sơ đồ mạch có thể trông như thế này.

Hình không hiển thị đầu ra nghịch đảo của bộ kích hoạt và tín hiệu R. Các thanh ghi luôn được chỉ định bằng các chữ cái Latinh R G. Nếu thanh ghi đang dịch chuyển, thì một mũi tên hướng sang trái, phải hoặc kép sẽ được vẽ dưới ký hiệu.

Thanh ghi dịch chuyển hoặc thanh ghi dịch chuyển.

Thanh ghi thay đổi là một thiết bị bao gồm một số flip-flop được kết nối nối tiếp, số lượng của chúng quyết định dung lượng của thanh ghi. Các thanh ghi được sử dụng rộng rãi trong máy tính để chuyển đổi mã. Song song với nối tiếp và ngược lại.

Ngoài ra, các thanh ghi thay đổi là cơ sở ( ALU) của một thiết bị logic số học, vì khi một số nhị phân ghi trong thanh ghi được dịch sang trái một chữ số thì số đó được nhân với hai và khi một số được dịch sang phải một chữ số thì số đó được chia cho hai . Vì vậy, phổ biến nhất có thể đảo ngược hoặc hai chiều sổ đăng ký.

Hãy xem xét một thanh ghi dịch chuyển bốn bit chuyển đổi mã nhị phân nối tiếp thành mã nhị phân song song. Việc sử dụng mã nối tiếp là hợp lý bởi thực tế là một lượng lớn thông tin có thể được truyền qua một đường. Một ví dụ về điều này là bus nối tiếp vạn năng - cổng USB của bất kỳ thiết bị nào. Số lượng kích hoạt trong sổ đăng ký này có thể là bất kỳ. Chỉ cần kết nối đầu ra trực tiếp là đủ Q3 Với Dđầu vào của bộ kích hoạt tiếp theo, v.v. cho đến khi đạt được công suất yêu cầu.

Việc đăng ký hoạt động như sau. Bit thông tin đầu tiên đến đầu vào D0. Đồng thời với bit này, một xung đồng hồ đến đầu vào VỚI. Đầu vào VỚI tất cả các kích hoạt có trong sổ đăng ký được kết hợp với nhau. Với sự xuất hiện của xung đồng hồ đầu tiên, mức ở đầu vào D0được ghi vào trình kích hoạt đầu tiên và từ đầu ra Q0đến đầu vào của bộ kích hoạt tiếp theo, nhưng việc ghi vào bộ kích hoạt thứ hai không xảy ra vì xung đồng hồ đã kết thúc.

Khi xung đồng hồ tiếp theo đến, mức hiện tại ở đầu vào của flip-flop thứ hai sẽ được lưu trong đó và chuyển đến đầu vào của flip-flop thứ ba. Đồng thời, bit thông tin tiếp theo được lưu trữ trong flip-flop đầu tiên. Sau khi có xung đồng hồ thứ tư, các mức logic được nhận tuần tự ở đầu vào sẽ được ghi vào bốn flip-flop của thanh ghi. D0.

Giả sử đây là cấp độ 0110 2. Số nhị phân này sau đó có thể được hiển thị bằng cách kết nối đèn LED với đầu ra của flip-flop. Đây là cách thanh ghi được xem xét được mô tả trên sơ đồ.

Có thể thấy trên hình ảnh quy ước có một mũi tên - dấu hiệu cho biết đây là thanh ghi dịch.

Chúng ta hãy xem thanh ghi dịch phổ dụng 4 bit hoạt động như thế nào. K155IR1(tương tự - SN7495N). Đây là cấu trúc bên trong của nó.

Thanh ghi chứa bốn D-flip-flop, được kết nối với nhau bằng cách sử dụng các phần tử logic AND - OR bổ sung, cho phép thực hiện các chức năng khác nhau. Trên sơ đồ:

    V2 - đầu vào điều khiển. Nó được sử dụng để chọn chế độ hoạt động của thanh ghi.

    Đầu ra Q1 - Q4 của trình kích hoạt mà mã song song bị loại bỏ.

    V1 - đầu vào để cung cấp mã nối tiếp.

    C1, C2 - xung đồng hồ.

    D1 - D4 - đầu vào để viết mã song song.

Thuật toán hoạt động đăng ký như sau. Nếu điện thế thấp được áp vào đầu vào V2, các xung đồng hồ được áp dụng cho C1 và các bit thông tin được áp dụng cho đầu vào V1 thì thanh ghi sẽ dịch sang phải. Sau khi nhận được bốn bit ở đầu ra của flip-flop Q1 - Q4, chúng ta thu được mã song song. Bằng cách này, mã nối tiếp được chuyển đổi thành mã song song.

Để chuyển đổi ngược, mã song song được ghi vào đầu vào D1 - D4, áp dụng điện thế cao cho đầu vào V2 và xung đồng hồ cho đầu vào C2. Sau đó, bằng cách áp điện thế thấp vào đầu vào V2 và xung đồng hồ vào đầu vào C1, chúng tôi dịch chuyển mã đã ghi và mã nối tiếp sẽ bị xóa khỏi đầu ra của lần kích hoạt cuối cùng.

Về cấu trúc, đây là một trong những thanh ghi dịch đơn giản nhất.

Các thanh ghi dịch chuyển trong công nghệ kỹ thuật số có thể dùng làm cơ sở để lắp ráp các tổ hợp có đặc tính thú vị. Ví dụ, đây là các bộ đếm vòng, được gọi là bộ đếm Johnson. Bộ đếm như vậy có số trạng thái lớn gấp đôi số lượng flip-flop cấu thành của nó. Ví dụ: nếu bộ đếm vòng bao gồm ba flip-flop thì nó sẽ có sáu trạng thái ổn định. Không có gì được cung cấp cho đầu vào bộ đếm ngoại trừ xung đồng hồ. Ở trạng thái ban đầu, tất cả các flip-flop đều được "đặt lại", nghĩa là có các số 0 logic ở đầu ra trực tiếp của bộ kích hoạt, nhưng ở đầu vào D bộ kích hoạt đầu tiên từ đầu ra nghịch đảo của bộ kích hoạt thứ ba là một đơn vị logic. Hãy bắt đầu gửi xung đồng hồ và quá trình bắt đầu.

Bảng chân lý cho thấy rõ ràng mã nhị phân thay đổi như thế nào khi có sáu xung đồng hồ đến.

N Câu 2 Câu 1 Q 0
1 0 0 1
2 0 1 1
3 1 1 1
4 1 1 0
5 1 0 0
6 0 0 0

Bây giờ bạn đã biết sổ đăng ký là gì và cách sử dụng nó trong thực tế. Cơ sở của bất kỳ đăng ký nào là một kích hoạt. Số lượng flip-flop trong một thanh ghi quyết định dung lượng của nó. Những người quan tâm đến bộ vi điều khiển đều biết rằng thành phần quan trọng nhất của bất kỳ bộ vi điều khiển nào, có thể là PIC, AVR, STM hoặc MSP, là thanh ghi.

Trong tình huống không có đủ đầu ra của bộ vi điều khiển, người ta thường làm gì? Đúng vậy - hãy lấy một bộ vi điều khiển có số lượng đầu ra lớn. Và nếu bộ vi điều khiển có số lượng đầu ra lớn nhất không có đủ chân thì họ có thể lắp bộ vi điều khiển thứ hai.
Nhưng trong hầu hết các trường hợp, vấn đề có thể được giải quyết bằng các phương pháp rẻ hơn, ví dụ như sử dụng thanh ghi dịch 74HC595.

Lợi ích của việc sử dụng thanh ghi dịch 74HC595:

  • không yêu cầu bất kỳ hệ thống dây điện nào ngoài tụ điện cung cấp điện;
  • hoạt động thông qua giao diện SPI được sử dụng rộng rãi;
  • để chuyển đổi đơn giản nhất, hai đầu ra vi điều khiển là đủ;
  • khả năng mở rộng gần như không giới hạn số lượng đầu ra mà không làm tăng đầu ra chiếm dụng của vi điều khiển;
  • tần số hoạt động lên tới 100 MHz;
  • điện áp cung cấp từ 2 V đến 6 V;
  • rẻ - chi phí ít hơn 5 xu;
  • Có sẵn ở cả hai gói phẳng (74HC595D thuận tiện cho sản xuất) và DIP16 (74HC595N thuận tiện cho người nghiệp dư vô tuyến và tạo nguyên mẫu).

Để hiểu cách thức hoạt động của thanh ghi, bạn nên xem sơ đồ chức năng. Nó bao gồm:

  • thanh ghi dịch chuyển 8 bit,
  • Thanh ghi giữ 8 bit,
  • Thanh ghi đầu ra 8 bit.

Chúng ta hãy xem thanh ghi dịch 74hc595 có những chân nào.

Sản lượng chung và sản lượng điện không cần giải thích.

  • GND - mặt đất
  • VCC - nguồn điện 5 volt

74HC595 Đầu vào:

O.E.

Đầu vào chuyển đổi đầu ra từ trạng thái trở kháng cao sang trạng thái làm việc. Logic 1 ở đầu vào này sẽ ngắt kết nối đầu ra của 74HC595 khỏi phần còn lại của mạch. Ví dụ, điều này là cần thiết để một vi mạch khác có thể điều khiển các tín hiệu này.
Nếu bạn cần chuyển vi mạch sang trạng thái hoạt động, hãy áp dụng số 0 logic cho đầu vào này. Và về nguyên tắc, nếu không cần chuyển các đầu ra sang trạng thái trở kháng cao, hãy nối đất cho chân này.

MR - thiết lập lại đăng ký

Chuyển tất cả các đầu ra sang trạng thái logic 0. Để đặt lại thanh ghi, bạn cần áp dụng số 0 logic cho đầu vào này và áp dụng xung dương cho đầu vào STCP.
Chúng tôi kết nối đầu ra này thông qua một điện trở với nguồn điện của vi mạch và nếu cần, hãy nối ngắn nó xuống đất.

DS – dữ liệu đầu vào

Dữ liệu được cung cấp tuần tự ở đây sẽ xuất hiện ở 8 đầu ra của thanh ghi ở dạng song song.

SHCP – đầu vào đồng hồ

Khi đầu vào đồng hồ SHCP là logic 1, bit ở đầu vào dữ liệu DS được đọc và ghi vào bit có trọng số nhỏ nhất của thanh ghi dịch. Khi xung mức cao tiếp theo đến đầu vào đồng hồ, bit tiếp theo từ đầu vào dữ liệu sẽ được ghi vào thanh ghi thay đổi. Bit được viết trước đó được dịch chuyển một bit (từ Q0 sang Q1) và vị trí của nó được thay thế bởi bit mới đến. Và cứ thế theo chuỗi.

STCP – đầu vào chốt dữ liệu

Để dữ liệu xuất hiện ở đầu ra Q0...Q7, bạn cần áp dụng giá trị logic cho đầu vào STCP. Dữ liệu đi vào một thanh ghi song song sẽ lưu trữ nó cho đến xung STCP tiếp theo.

Đầu ra 74HC595

  • Q0…Q7 – đầu ra mà chúng tôi sẽ kiểm soát. Có thể ở ba trạng thái: trạng thái logic một, trạng thái logic 0 và trở kháng cao
  • Q7′ – đầu ra dành cho kết nối nối tiếp của các thanh ghi.

Sơ đồ thời gian hiển thị chuyển động của một đơn vị logic trên tất cả các đầu ra thanh ghi.


Người ta thường nói, thà nhìn một lần còn hơn nghe đến bảy lần. Khi sử dụng thanh ghi 74HC595 lần đầu tiên, tôi chưa hiểu đầy đủ về hoạt động của nó và để hiểu được nó, tôi đã lập mô hình mạch cần thiết trong Proteus.

Dưới đây là sơ đồ kết nối các chỉ báo bảy đoạn với vi điều khiển ATMega48 thông qua SPI:


Đây là một mạch có chỉ báo động, tức là tại mỗi thời điểm chỉ có một chữ số của đèn báo bốn đoạn sáng lên, sau đó chữ số tiếp theo sáng lên, v.v. theo vòng tròn. Nhưng vì sự thay đổi diễn ra rất nhanh nên có vẻ như tất cả các con số đều đang bốc cháy.
Ngoài ra mạch này còn thăm dò đồng thời 4 nút S1-S4. Bằng cách thêm hai điốt kép, bạn có thể thăm dò 8 nút. Và bằng cách thêm 4 bóng bán dẫn và điện trở, bạn có thể kết nối thêm một chỉ báo 4 chữ số.
Để chỉ báo động hoạt động, hai byte phải được gửi đến các thanh ghi: byte đầu tiên xác định chỉ báo nào trong số 4 chỉ báo sẽ hoạt động và nút nào sẽ được thăm dò. Và thứ hai là đoạn nào sẽ sáng lên.

1. Mục lục

2. Lời giới thiệu ……….…….……..…… 2

3. Rà soát nguồn văn học …………………… 3

3.1. Thông tin chung về sổ đăng ký………….. 3

3.2. Thông tin chung về các tác nhân kích thích……………………….. 6

3.3. Các thanh ghi dịch chuyển……………………….. 12

3.4. Thanh ghi phổ quát……………………….. 20

4. Xây dựng mạch thanh ghi dịch chuyển ………………………… 24

4.1. Dữ liệu ban đầu ……………………………………………… 24

4.2. Quy trình xây dựng sổ đăng ký ca……..……… 24

4.3. Phát triển thanh ghi dịch chuyển bốn pha………….. 25

5. Kết luận……………………………………………………………. 27

6. Danh mục tài liệu tham khảo ……………………. 28


2. Giới thiệu

Đăng ký– các thành phần phổ biến nhất của thiết bị kỹ thuật số. Chúng hoạt động dựa trên nhiều biến số liên quan tạo nên một từ. Một số thao tác được thực hiện trên các từ: nhận, phát, lưu trữ, dịch chuyển trong lưới bit, các thao tác logic theo bit.

Các thanh ghi dịch chuyển (tuần tự) được sử dụng để dịch chuyển N-số bit theo một hướng. Ngoài ra, chúng có thể được sử dụng để dịch chuyển thông tin không phải số.

Các thanh ghi dịch chuyển được sử dụng làm thiết bị lưu trữ, làm bộ chuyển đổi mã nối tiếp sang song song, làm thiết bị trễ và bộ đếm xung (tuy nhiên, việc sử dụng các thanh ghi dịch chuyển làm bộ đếm khá kinh tế).

3. Rà soát các nguồn tài liệu

3.1. Thông tin chung về sổ đăng ký

Các thanh ghi bao gồm các mạch bit chứa các flip-flop và thường là các phần tử logic. Họ hoạt động như một đơn vị duy nhất.

Theo số lượng đường truyền thay đổi, các thanh ghi được chia thành một pha và paraphase, và theo hệ thống đồng bộ hóa thành một chu kỳ, kéo đẩy và đa chu kỳ. Tuy nhiên, đặc điểm phân loại chính là phương thức nhận và phát dữ liệu. Trên cơ sở này người ta phân biệt song song (tĩnh)đăng ký, tuần tự (chuyển dịch)nối tiếp song song .

Trong các thanh ghi song song, các từ được nhận và xuất ra ở tất cả các bit cùng một lúc. Chúng lưu trữ các từ có thể chịu sự biến đổi logic theo bit.

Trong các thanh ghi tuần tự, các từ được nhận và xuất ra từng chữ số. Chúng được gọi là dịch chuyển, vì tín hiệu định thời khi nhập và xuất các từ sẽ di chuyển chúng trong lưới bit. Thanh ghi dịch chuyển có thể không đảo ngược (với dịch chuyển một chiều) hoặc có thể đảo ngược (với khả năng dịch chuyển theo cả hai hướng).

Các thanh ghi nối tiếp song song có đầu vào và đầu ra thuộc cả loại nối tiếp và song song. Có các tùy chọn với đầu vào nối tiếp và đầu ra song song (SIPO, Đầu vào nối tiếp – Đầu ra song song), đầu vào song song và đầu ra nối tiếp (PISO, Đầu vào song song – Đầu ra nối tiếp), cũng như các tùy chọn có khả năng kết hợp bất kỳ phương thức nhận và phát hành nào. từ.

Trong các thanh ghi song song (tĩnh), các mạch bit không giao tiếp với nhau. Điểm chung của các bit thường là mạch đồng hồ, mạch đặt lại/đặt, quyền đầu ra hoặc nhận, tức là mạch điều khiển. Một mạch ví dụ về thanh ghi tĩnh được xây dựng trên flip-flop loại D với đầu vào động trực tiếp, có đầu vào đặt lại R và đầu ra trạng thái thứ ba được điều khiển bởi tín hiệu EZ, được hiển thị trong Hình 1 .

Bức tranh 1. Sơ đồ thanh ghi tĩnh (a) và ký hiệu đồ họa thông thường của nó (b)

Công nghệ mạch hiện đại được đặc trưng bởi việc xây dựng các thanh ghi trên flip-flop loại D, chủ yếu có điều khiển động. Nhiều người có đầu ra với trạng thái thứ ba. Một số thanh ghi được phân loại là thanh ghi đệm, nghĩa là chúng được thiết kế để hoạt động với tải hoạt động điện dung lớn và/hoặc điện trở thấp. Điều này đảm bảo hoạt động của chúng trực tiếp trên đường cao tốc (không có mạch giao diện bổ sung).

Các thanh ghi tĩnh được sử dụng để tạo các khối bộ nhớ thanh ghi—các tập tin thanh ghi.

Các chức năng chính của register:

1) Lưu trữ thông tin,

2) Tiếp nhận thông tin,

3) Cung cấp thông tin,

4) Sự thay đổi thông tin,

5) Chuyển đổi mã,

6) Đặt số mong muốn thành 0 hoặc một,

7) Các phép toán logic theo bit: tách, kết hợp, cộng modulo 2.

3.2. Hiểu các yếu tố kích hoạt

Gây nên - một loại lớn các thiết bị điện cho phép nó duy trì ở một trong hai (hoặc nhiều) trạng thái ổn định trong thời gian dài và thay thế chúng dưới tác động của tín hiệu bên ngoài (là kết quả của quá trình tái tạo (quá trình nhất thời trong mạch điện được bao phủ) bởi một PIC)).

Bộ kích hoạt là một thiết bị logic xung có bộ nhớ (phần tử bộ nhớ – chốt).

Có hơn một chục kích hoạt tích hợp khác nhau. Phân loại của họ dựa trên:

Dấu hiệu chức năng

Một phương pháp để ghi thông tin vào trigger.

Dựa trên đặc điểm chức năng của chúng, có trình kích hoạt T, trình kích hoạt JK, trình kích hoạt RS, trình kích hoạt D, trình kích hoạt kết hợp (TV, DV, E, R), v.v.

Dựa trên phương pháp ghi (nhận) thông tin, chúng được phân biệt:

8) Trình kích hoạt không đồng bộ:

a) có độ trễ bên trong;

b) được điều khiển bởi mức xung đầu vào;

9) Kích hoạt đồng bộ (có xung nhịp):

a) có độ trễ bên trong;

b) được điều khiển bởi mức xung định thời:

Hành động một chu kỳ (một giai đoạn);

Nhiều hành động.

Thông tin chỉ được ghi vào bộ kích hoạt có xung nhịp khi áp dụng xung đồng hồ cho phép. Các bộ kích hoạt như vậy được chia thành điều khiển theo mức (cần có một mức tín hiệu nhất định để hoạt động) và điều khiển cạnh (không phụ thuộc vào mức tín hiệu, sự hiện diện của nó rất quan trọng) của xung thời gian. Xung đồng hồ đôi khi còn được gọi là tín hiệu đồng bộ, điều hành hoặc lệnh (thường được ký hiệu trong sơ đồ bằng chữ C - Clock).

Đầu vào động có thể là trực tiếp hoặc nghịch đảo. Điều khiển động trực tiếp cho phép chuyển đổi khi tín hiệu đồng hồ thay đổi từ 0 sang một (). Điều khiển động nghịch đảo - thay đổi tín hiệu đồng hồ từ 1 thành 0 ().

Điều khiển cạnh xung thời gian:

Kiểm soát suy giảm xung thời gian:

Kiểm soát mức trên của xung thời gian:

Kiểm soát mức độ thấp hơn của xung thời gian:

Các bộ kích hoạt theo đồng hồ có độ trễ bên trong (được kích hoạt khi tín hiệu kết thúc), theo quy luật, là một đầu cuối. Kích hoạt nhiều chu kỳ kích hoạt sau N-nogo thôi thúc.

Bộ kích hoạt RS có hai đầu vào thông tin: S (Đặt) và R (Đặt lại). Không được phép áp dụng đồng thời tín hiệu S và R. TRÊN Hình 2 hiển thị bộ kích hoạt RS đồng bộ được kích hoạt bởi cạnh của tín hiệu định thời.

Hình 2. Kích hoạt RS đồng bộ

Ngoài đầu vào, bộ kích hoạt RS đơn giản nhất còn có hai đầu ra. Đầu ra chỉ ra Q Và . Lối ra Q gọi là trực tiếp, a - nghịch đảo. Các mức điện áp ở cả hai đầu ra nghịch đảo lẫn nhau: nếu tín hiệu Q= 1 thì = 0, hoặc nếu Q= 0 thì = 1. Cũng cần lưu ý rằng trạng thái của trigger trong đó Q= 1, a = 0 gọi là đơn vị. Khi kích hoạt bằng không Q= 0 và = 1. Khi tín hiệu đến đầu vào của bộ kích hoạt, tùy thuộc vào trạng thái của nó, quá trình chuyển đổi sẽ xảy ra hoặc trạng thái ban đầu được giữ nguyên.

Hình 3. - kích hoạt: ký hiệu đồ họa thông thường của nó và một mạch có hai phần tử logic VÀ-KHÔNG

TRÊN Hình 3 trình kích hoạt đơn giản nhất được hiển thị - gõ . Chỉ có hai cổng NAND được sử dụng ở đây. Mục đích của đầu vào: - để đặt bộ kích hoạt ở một trạng thái duy nhất và - để trở về trạng thái 0. Các dấu gạch ngang phía trên ký hiệu đầu vào cho biết rằng flip-flop sẽ chuyển đổi khi điện áp đầu vào mức cao được thay thế bằng điện áp mức thấp ( hinh 4). Dễ dàng nhận thấy khi không nhận được tín hiệu nào ở đầu vào thì flip-flop vẫn giữ nguyên trạng thái. Nếu, ví dụ, Q= 1 và = 0, nghĩa là bộ kích hoạt ở một trạng thái duy nhất, do đó đầu ra của DD1 được kết nối với một trong các đầu vào của DD2 và đầu ra của DD2 được kết nối với một trong các đầu vào của DD1, nên điện áp là áp dụng cho hai đầu vào của DD2

Hinh 4. Sơ đồ thời gian hoạt động - cò súng

cao và ở đầu ra - mức thấp (= 0). Đồng thời, tại một trong các đầu vào của DD1, điện áp thấp và ở đầu ra điện áp cao. Nếu một tín hiệu có cực tính được chỉ định bây giờ đến đầu vào (thời điểm t1 , hinh 4), trạng thái của bộ kích hoạt sẽ không thay đổi, vì sự xuất hiện của tín hiệu ở đầu vào thứ hai DD1 sẽ tạm thời chỉ thay đổi sự kết hợp của các tín hiệu ở đầu vào (trước khi tín hiệu được gửi là 1 và 0, nhưng nó trở thành 0 và 0), nhưng trạng thái đầu ra của DD1 vẫn không thay đổi. Tuy nhiên, nếu một tín hiệu đến đầu vào (thời điểm t2), cả hai đầu vào của DD2 sẽ có điện áp ở các mức khác nhau, trạng thái của các phần tử logic sẽ thay đổi và đầu ra của nó sẽ có điện áp ở mức cao. Cả hai đầu vào của DD1 sẽ có điện áp cao và điện áp thấp ở đầu ra, tức là trigger sẽ “lật” và chuyển sang trạng thái khác: Q= 0 và = 1.

Từ những điều trên, sự thay đổi trạng thái của bộ kích hoạt chỉ xảy ra khi các tín hiệu mức thấp luân phiên ở đầu vào và . Hơn nữa, nếu các tín hiệu đó đến cả hai đầu vào cùng một lúc thì sau khi chúng kết thúc, trạng thái của bộ kích hoạt sẽ trở nên không xác định (trạng thái Q= 0 hoặc Q= 1 khả năng như nhau). Do đó, tín hiệu mức thấp đồng thời không được phép trên cả hai đầu vào.

Hoạt động của trigger được đặc trưng bởi một bảng trạng thái (các chỉ số Nn+1 chỉ ra rằng tín hiệu thuộc về một thời điểm tn và người tiếp theo sau anh ấy tn+1):

Trạng thái không chắc chắn

Không được phép cung cấp đồng thời điện áp mức thấp cho cả hai đầu vào của bộ kích hoạt.

Bộ kích hoạt loại RS, giống như flip-flop, “ghi nhớ” đầu vào nào trong hai đầu vào (R hoặc S) đã nhận được tín hiệu cuối cùng: nếu đầu vào là R, bộ kích hoạt ở trạng thái 0 ( Q= 0 và = 1) và nếu đầu vào là S thì ở một trạng thái duy nhất ( Q= 1 và = 0).

Hình 5. R.S. - kích hoạt: ký hiệu đồ họa thông thường và mạch với bốn phần tử logic VÀ-KHÔNG

TRÊN Hình 5 hiển thị sơ đồ của flip-flop RS được tạo trên các phần tử logic NAND. Nó khác với mạch lật ở chỗ một biến tần (DD3 và DD4) được thêm vào mỗi đầu vào, chỉ cung cấp mức tín hiệu đầu vào cần thiết.

Việc thay đổi tín hiệu đầu vào từ thấp lên cao dẫn đến thay đổi trạng thái của trigger (khoảnh khắc t1, t2, t2 và t5; trong thời điểm này t4 không xảy ra rollover vì trình kích hoạt đã được đặt ở trạng thái duy nhất tại thời điểm trước đó -t3, Hình 6).

Hình 6. Sơ đồ thời gian hoạt động của RS - cò súng

Mọi điều đã nói về trình kích hoạt RS cũng áp dụng cho -trigger. Sự khác biệt duy nhất liên quan đến sự đảo ngược các mức tín hiệu đầu vào (R thay vì và S thay vì ).

Hoạt động của flip-flop RS được đặc trưng bởi bảng trạng thái sau:

Trạng thái không chắc chắn

3.3. Thanh ghi dịch chuyển

Thanh ghi dịch chuyển kích hoạt gọi một tập hợp các trình kích hoạt với các kết nối nhất định giữa chúng, trong đó chúng hoạt động như một thiết bị duy nhất. Các thanh ghi tuần tự (dịch chuyển) là một chuỗi các mạch bit được kết nối bằng các mạch mang.

Trong các thanh ghi chu kỳ đơn được dịch chuyển một bit sang phải ( hình 7) từ được dịch chuyển khi có tín hiệu đồng bộ hóa đến. Đầu vào và đầu ra đều nối tiếp (DSR – Data Serial Right). TRÊN Hình 8 hiển thị mạch đăng ký dịch chuyển sang trái (đầu vào dữ liệu DSL - Dữ liệu nối tiếp bên trái) và Hình 9 minh họa nguyên tắc xây dựng một thanh ghi đảo ngược, trong đó có các kết nối giữa các flip-flop với cả hai bit liền kề, nhưng các tín hiệu tương ứng chỉ cho phép hoạt động của một trong các kết nối này (các lệnh “trái” và “phải” không được đưa ra đồng thời) .

Hình 7. Mạch thanh ghi dịch phải

Vẽ 8 . Mạch đăng ký dịch trái

Vẽ 9 . Mạch đăng ký đảo ngược

Theo yêu cầu đồng bộ hóa, trong các thanh ghi dịch không có các phần tử logic trong kết nối giữa các bit, không thể sử dụng các flip-flop được điều khiển ở mức một giai đoạn, vì một số flip-flop có thể chuyển đổi liên tục trong khi hoạt động ở mức cho phép của tín hiệu đồng hồ, điều này là không thể chấp nhận được. Trong các sơ đồ này, nên sử dụng bộ kích hoạt có điều khiển động (hai giai đoạn).

Sự xuất hiện của các phần tử logic và hơn nữa là các mạch logic có độ sâu không đơn vị trong các kết nối giữa các bit giúp đơn giản hóa việc đáp ứng các điều kiện hoạt động của các thanh ghi và mở rộng phạm vi các loại flip-flop phù hợp với các mạch này.

Các thanh ghi dịch nhiều chu kỳ được điều khiển bởi một số chuỗi đồng hồ. Trong số này, nổi tiếng nhất là loại kéo đẩy với các thanh ghi chính và phụ, được xây dựng trên các bộ kích hoạt một giai đoạn đơn giản được điều khiển bởi một cấp độ. Tại đồng hồ C1, nội dung của thanh ghi chính được ghi lại vào thanh ghi bổ sung, và ở đồng hồ C2, nội dung của thanh ghi chính được ghi lại vào thanh ghi chính, nhưng tới các bit liền kề, tương ứng với sự dịch chuyển từ. Về mặt chi phí thiết bị và hiệu suất, tùy chọn này gần giống với thanh ghi một chu kỳ với flip-flop hai giai đoạn.

Thanh ghi dịch chứa một tập hợp các flip-flop với các kết nối nhất định giữa chúng và việc tổ chức các kết nối này sao cho khi một xung đồng hồ được áp dụng, chung cho tất cả các flip-flop, trạng thái đầu ra của mỗi flip-flop sẽ được chuyển sang cái lân cận. Tùy thuộc vào cách tổ chức các kết nối, sự dịch chuyển này có thể xảy ra ở bên trái hoặc bên phải:

Sang trái

Chuyển sang phải

Việc nhập thông tin vào thanh ghi có thể được thực hiện theo nhiều cách khác nhau, nhưng hầu hết thường sử dụng đầu vào song song hoặc tuần tự, trong đó số nhị phân được nhập đồng thời vào tất cả các bit của thanh ghi hoặc tuần tự theo thời gian theo từng bit riêng lẻ. Trong bộ đếm xung, các thanh ghi dịch chuyển với đầu vào và đầu ra thông tin tuần tự và dịch chuyển sang phải được sử dụng. TRÊN Hình 10 Một Sơ đồ của thanh ghi dịch 4 bit được thực hiện trên flip-flop RS được hiển thị. Trong sơ đồ này, mỗi đầu ra Q Bộ kích hoạt được kết nối với đầu vào S của lần phóng tiếp theo và mỗi đầu ra được kết nối với đầu vào R. Đầu vào đồng hồ của tất cả các flip-flop được kết nối với nhau và tín hiệu đồng bộ hóa được nhận bởi một xung chung thông qua AND-NOT phần tử logic (DD7). Trạng thái của bộ kích hoạt đầu tiên được xác định bởi các tín hiệu đầu vào ở đầu vào X1, X2 của phần tử logic AND-NOT (DD5). Thông tin hiện tại được cung cấp cho đầu vào X1 và tín hiệu cho phép truyền nó đến đầu vào X2. Cổng NOT (DD6) được sử dụng để đảo ngược tín hiệu đầu vào được cấp cho đầu vào S.

TRÊN Hình 10b Sơ đồ thời gian của tín hiệu đầu ra của flip-flop và trạng thái của các thanh ghi khi ghi một tín hiệu đơn vào chữ số đầu tiên được hiển thị. Nếu khi có xung đồng hồ đầu tiên đến, các tín hiệu X1 = X2 = 1 được đặt ở đầu vào X1 và X2, sau đó bị loại bỏ khi có xung đồng hồ thứ hai, thì kết quả là tín hiệu sẽ được ghi vào kích hoạt đầu tiên Q 1 = 1. Khi có xung đồng hồ thứ hai xuất hiện, tín hiệu sẽ được ghi vào bộ kích hoạt đầu tiên Q 1 = 0 và tín hiệu sẽ xuất hiện ở đầu ra của bộ kích hoạt thứ hai Q 2 = 1, trước đây là đầu ra của bộ kích hoạt thứ hai. Khi các xung đồng hồ tiếp theo đến, một tín hiệu duy nhất sẽ được chuyển tuần tự sang flip-flop thứ ba và thứ tư, sau đó tất cả các flip-flop được đặt về trạng thái 0.

Một)

N

Câu 1

Câu 2

Câu 3

Câu 4

Vẽ 10 . Sơ đồ thanh ghi dịch chuyển bốn pha (a), sơ đồ thời gian của các tín hiệu và trạng thái thanh ghi khi ghi tín hiệu đơn vào chữ số đầu tiên (b)

Các thanh ghi dịch cũng có thể được thực hiện bằng cách sử dụng flip-flop D hoặc flip-flop JK. Tất cả các sổ đăng ký ca đều có các quy định sau:

1) cần đặt trước trạng thái ban đầu và nhập đơn vị vào bộ kích hoạt đầu tiên

2) để đăng ký từ N kích hoạt sau khi nhập viện N xung đồng hồ đầu vào, đơn vị được nhập ban đầu là đầu ra, do đó đầu ra trực tiếp của tất cả các thanh ghi đều ở trạng thái 0.

Các chip đăng ký dịch chuyển tích hợp có thể đảo ngược, nghĩa là chúng thực hiện dịch chuyển theo bất kỳ hướng nào: trái hoặc phải. Hướng dịch chuyển được xác định bởi giá trị của tín hiệu điều khiển.

Hình 11. Triển khai thanh ghi thay đổi trên flip-flop RS một đầu

Thanh ghi dịch nối tiếp có hai nhược điểm: nó chỉ cho phép nhập một bit thông tin trên mỗi xung đồng hồ, và ngoài ra, mỗi lần thông tin trong thanh ghi được dịch sang phải, bit thông tin ngoài cùng bên phải sẽ bị mất. TRÊN Hình 12 cho thấy một hệ thống cho phép tải song song 4 bit thông tin.

Hình 12. Sơ đồ khối của thanh ghi song song 4 bit

Đầu vào 1, 2, 3, 4 trong thiết bị này là đầu vào thông tin. Hệ thống này có thể được trang bị một đặc tính hữu ích khác - khả năng di chuyển vòng tròn của thông tin, khi dữ liệu từ đầu ra của thiết bị được đưa trở lại đầu vào và không bị mất.

Hình 13. Mạch logic của thanh ghi vòng song song 4 bit

Mạch của thanh ghi dịch vòng song song 4 bit được thể hiện trong Hình 13. Thanh ghi dịch này sử dụng bốn flip-flop JK. Nhờ vòng phản hồi, thông tin được nhập vào thanh ghi thường bị mất ở đầu ra của flip-flop thứ tư sẽ lưu thông qua thanh ghi dịch. Tín hiệu để xóa thanh ghi (đặt đầu ra của nó về trạng thái 0000) là mức logic 0 ở đầu vào CLR. Đầu vào tải dữ liệu song song 1, 2, 3 và 4 được kết nối với đầu vào kích hoạt cài sẵn (PS), cho phép đặt logic 1 trên bất kỳ đầu ra nào (1, 2, 3, 4). Nếu mức logic 0 được áp dụng cho một trong những đầu vào này dù chỉ trong thời gian ngắn, thì mức logic 1 sẽ được đặt ở đầu ra tương ứng. Việc áp dụng các xung đồng hồ cho đầu vào C của tất cả các flip-flop JK sẽ dẫn đến sự dịch chuyển thông tin trong thanh ghi sang đầu vào Phải. Từ lần kích hoạt thứ tư, dữ liệu được truyền đến lần kích hoạt đầu tiên (chuyển động vòng tròn của thông tin).

Bảng 1.


dòng

Đầu vào

Thoát

Đồng hồ số

Nguyên lý hoạt động của thanh ghi dịch song song được mô tả trong Bảng 1. Khi bật nguồn, bất kỳ kết hợp nhị phân nào cũng có thể được đặt ở đầu ra thanh ghi, chẳng hạn như ở dòng 1 của bảng. Việc áp dụng logic 0 cho đầu vào của flip-flop CLR sẽ bắt đầu xóa thanh ghi (dòng 2). Tiếp theo (dòng 3) tổ hợp nhị phân 0100 được nạp vào thanh ghi.Các xung đồng hồ liên tiếp làm cho thông tin đã nhập dịch sang phải (dòng 4 - 8). Ở dòng 5 và 6: cái từ flip-flop ngoài cùng bên phải (dòng thứ tư) được chuyển sang flip-flop ngoài cùng bên trái (dòng đầu tiên). Trong trường hợp này, chúng ta có thể nói về chuyển động tròn của một đơn vị trong thanh ghi. Tiếp theo (dòng 9), việc xóa thanh ghi lại được bắt đầu bằng đầu vào CLR. Tổ hợp nhị phân mới 0110 được tải (dòng 10). Áp dụng 5 xung đồng hồ (dòng 11-15) dẫn đến sự dịch chuyển vòng tròn của thông tin sang phải 5 vị trí. Phải mất 4 xung đồng hồ để đưa dữ liệu về trạng thái ban đầu.

Nếu thanh ghi thay đổi bằng Hình 13 phá vỡ vòng phản hồi, thì chúng ta nhận được một thanh ghi dịch chuyển song song thông thường: khả năng chuyển động vòng tròn của thông tin sẽ bị loại trừ.


Hình 14. Thanh ghi dịch chuyển ba chu kỳ trên flip-flop RS


3.4. Thanh ghi phổ quát

Thông thường, thay vì các thanh ghi nối tiếp hoặc song song thông thường, cần sử dụng các thanh ghi dịch phức tạp hơn: với việc ghi thông tin đồng bộ song song, có thể đảo ngược, có thể đảo ngược với ghi đồng bộ song song. Các thanh ghi như vậy được gọi phổ quát .

Có nhiều dòng thanh ghi IC, đa chế độ (đa chức năng) hoặc đa năng, có khả năng thực hiện một tập hợp các thao tác vi mô. Đa chế độ đạt được bằng cách sắp xếp theo cùng một sơ đồ các phần cần thiết để thực hiện các hoạt động khác nhau. Các tín hiệu điều khiển xác định loại hoạt động đang được thực hiện tại một thời điểm nhất định sẽ kích hoạt các phần của mạch cần thiết cho việc này.

Hình 15. Thanh ghi dịch chuyển đa năng: a – K155IR13, b – K500IR141, c – KM155IR1

TRÊN Hình 15 ba đại diện tiêu biểu của các thanh ghi dịch đa năng thuộc dòng K155, KM155 và K500 được trình bày.

Chip IR13 ( Hình 15 a) là một thanh ghi dịch chuyển có thể đảo ngược tám bit với tần số xung nhịp cho phép lên tới 25 MHz và mức tiêu thụ hiện tại lên tới 40 mA. Nó có đầu vào và đầu ra song song, đầu vào đặt lại không đồng bộ, đầu vào DSL (dịch chuyển trái) và DSR (dịch chuyển phải) dựa trên vi sai xung đồng hồ C, đầu vào chọn chế độ S0 và S1. Khi S0 = 0, S1 = 1, thông tin được dịch sang phải, khi S0 = 1, S1 = 0 – sang trái và khi S0 = S1 = 1 – thông tin được ghi vào thanh ghi.

Chip IR141 ( Hình 15b) là một thanh ghi dịch chuyển bốn bit phổ dụng được xây dựng trên logic kết hợp bộ phát. Tần số đồng hồ – lên tới 150 MHz. Mức tiêu thụ hiện tại ít nhất là 120 mA. Khi S0 = 0, S1 = 1, thông tin được dịch chuyển sang phải, khi S0 = 1, S1 = 0 – sang trái và khi S0 = S1 = 1 – lưu trữ số, khi S0 = S1 = 0 – cài đặt con số.

Vi mạch IR1 ( Hình 15 trong) là một thanh ghi dịch có khả năng ghi thông tin đồng bộ trên các flip-flop RS. Đầu vào 1 – 4 dùng để ghi thông tin song song, đầu vào D dùng để ghi tuần tự. Đầu vào V – điều khiển. Khi V = 0, mạch hoạt động như một thanh ghi dịch chuyển dựa trên cạnh âm (từ 1 đến 0) của tín hiệu C1, và khi V = 1, mạch hoạt động ở chế độ ghi đồng bộ vào thanh ghi tín hiệu đầu vào 1 – 4 dựa trên cạnh âm của tín hiệu C2.

Các thanh ghi có các loại đầu vào và đầu ra khác nhau đóng vai trò là khối chính của bộ chuyển đổi mã song song thành mã nối tiếp và ngược lại. TRÊN Hình 16 hiển thị mạch chuyển đổi mã song song sang nối tiếp dựa trên thanh ghi 8 bit thuộc loại SI/PO/SO. Trong mạch này, xung khởi động âm St, đặt mức logic 0 ở đầu vào trên của phần tử 1, tạo ra tín hiệu nhận dữ liệu song song duy nhất ở đầu vào L (Tải), qua đó từ được chuyển đổi được tải vào các bit 1– 7 của thanh ghi và vào bit 0 – hằng số 0. Hằng số 1 được áp dụng cho đầu vào nối tiếp của DSR.Do đó, sau khi tải, một từ được hình thành trong thanh ghi. Xung đồng hồ đến đầu vào C làm cho từ dịch chuyển sang phải. Chuyển đổi đầu ra của từ ở dạng nối tiếp thông qua đầu ra của Q7. Theo sau các bit thông tin là số 0, theo sau là một chuỗi số 1. Trong khi số 0 không bị xóa khỏi thanh ghi, một tín hiệu duy nhất hoạt động ở đầu ra của phần tử 2. Sau khi đầu ra bằng 0, tất cả đầu vào của phần tử 2 trở thành đơn, đầu ra của nó thu được giá trị 0 và thông qua phần tử 1, tạo ra tín hiệu để tự động tải từ tiếp theo, sau đó chu kỳ chuyển đổi được lặp lại.

Hình 16. Mạch chuyển đổi song song nối tiếp

Các thanh ghi hiện đại không phù hợp để thực hiện các phép toán logic theo bit, nhưng nếu cần, chúng có thể được thực hiện bằng cách sử dụng các thanh ghi trên flip-flop RS. Để thực hiện thao tác OR, từ đầu tiên được cung cấp cho đầu vào S của thanh ghi tĩnh với trạng thái 0 ban đầu, các chữ số đơn vị của nó thiết lập các flip-flop tương ứng. Sau đó, không cần thiết lập lại thanh ghi, từ thứ hai sẽ được cung cấp cho đầu ra S.

Khi thực hiện thao tác theo bit AND trong chu kỳ xung nhịp đầu tiên, từ đầu tiên được cung cấp cho đầu vào S của thanh ghi, thiết lập các bit của thanh ghi mà từ này có các bit đó. Sau đó, từ thứ hai sẽ được áp dụng vào sổ đăng ký. Để thanh ghi chỉ giữ lại các đơn vị trong các bit mà cả hai từ đều có đơn vị, từ thứ hai được cung cấp cho đầu vào của R flip-flop ở dạng nghịch đảo.

Việc bổ sung modulo 2 có thể được thực hiện bằng một mạch có flip-flop loại T trong các bit bằng cách áp dụng hai từ cho nó một cách tuần tự theo thời gian.


4. Thiết kế mạch đăng ký dịch chuyển

4.1. Dữ liệu ban đầu

Các xung đồng hồ được đặt ở cực dương.

4.2. Quy trình thiết kế thanh ghi thay đổi

a) Xem xét yêu cầu thiết kế sổ đăng ký chung.

b) Xây dựng sổ đăng ký ca.

c) Mô tả hoạt động của mạch đã phát triển.


4.3. Phát triển thanh ghi dịch chuyển bốn pha

Cần thiết phải phát triển thanh ghi dịch 4 pha sử dụng flip-flop RS. Hãy để nó dịch chuyển sang phải. Để làm được điều này, chúng ta cần bốn flip-flop RS đồng bộ với sự đồng bộ hóa cạnh xung đồng hồ và một số phần tử logic nhất định để tạo ra các mạch truyền. Do các thanh ghi dịch với đầu vào và đầu ra nối tiếp có hiệu suất thấp nên chúng tôi sẽ phát triển một mạch có đầu vào và đầu ra song song.

Hình 17. Phát triển mạch thanh ghi đồng bộ dịch phải trên flip-flop RS

Bằng cách đảo ngược tín hiệu ở đầu vào kích hoạt, chúng tôi đảm bảo rằng việc cung cấp điện áp cùng mức cho đầu vào S và R là không thể. Điều này có nghĩa là khi S = 0, R = 1 thì ở đầu ra là 0, khi S = 1, R = 0 thì ở đầu ra là 1. Ở các đầu vào của thanh ghi dịch cần cài đặt 4 phần tử với bảng chân lý sau:

Bằng cách kết nối đầu ra thứ tư với đầu vào đầu tiên, chúng ta sẽ có được một thanh ghi dịch vòng sang phải. Thông tin từ đầu ra Q4 sẽ không bị mất mà sẽ được tuần hoàn.

Vì thanh ghi dịch như vậy là bốn bit nên số lượng kết hợp có thể có ở đầu vào sẽ là 16. Hãy xem xét hoạt động của thanh ghi của chúng ta khi một số kết hợp được cung cấp cho đầu vào.

Số kết hợp

Cổng vào

Lối ra

Đồng hồ số


5. Kết luận

Dự án khóa học đã kiểm tra việc phân loại các sổ đăng ký và nguyên tắc hoạt động của chúng. Các loại và nguyên tắc hoạt động của bộ kích hoạt là thành phần chính của các thanh ghi được xem xét. Các thanh ghi dịch chuyển và đặc biệt là các thanh ghi dịch chuyển trên flip-flop RS đã được kiểm tra chi tiết.

Một thanh ghi bốn bit đồng bộ vòng dịch sang phải dựa trên bốn flip-flop RS và tám phần tử logic cũng được thiết kế. Một bảng được cung cấp mô tả hoạt động của thanh ghi đối với một số kết hợp đầu vào.


6. Danh sách tài liệu tham khảo được sử dụng

1. Pryanishnikov V.A.Điện tử (khóa học của bài giảng). – S-P., 1998

2. Skarzhepa V.A., Lutsenko A.N.Điện tử và vi mạch (phần một). – K.: Cao học, 1989

3. Budishchev M.S. Kỹ thuật điện, điện tử và công nghệ vi xử lý. – L.: Áp phích, 2001

4. Ugryumov E.P. Mạch kỹ thuật số. – S-P., 2000

5. Danh mục mạch tích hợp hiện đại

1. Nhân với 2 là dịch số nhị phân sang trái 1 chữ số.

Chia cho 2 là dịch một số sang phải 1 vị trí.

Mỗi lần dịch chuyển tiếp theo của một số là một phép nhân hoặc chia tiếp theo lũy thừa hai.

2 Việc chuyển đổi mã song song sang nối tiếp và ngược lại có thể được thực hiện theo sơ đồ trong Hình 2. 3,43.

Cơm. 3,43. Sơ đồ chuyển đổi mã song song/nối tiếp

S – dữ liệu nối tiếp – đầu vào dữ liệu nối tiếp

P/S – đầu vào điều khiển chế độ đầu vào (song song/nối tiếp)

Để thực hiện chuyển đổi, thanh ghi RG1 được chuyển sang chế độ nhận thông tin song song và RG2 chuyển sang chế độ nhận thông tin nối tiếp, tác động đến đầu vào điều khiển P/S với mức tín hiệu logic tương ứng. Mã song song thông qua đầu vào D 1 - D 4 được tải vào thanh ghi truyền RG1, xuất hiện ở dạng song song ở đầu ra Q 1 - Q 4. Sau đó, cả hai thanh ghi được chuyển sang chế độ dịch chuyển và một chuỗi bốn xung được cung cấp cho đầu vào đồng hồ “c”. Mã được truyền bị đẩy ra khỏi thanh ghi truyền vào đường truyền, bắt đầu bằng các chữ số có ý nghĩa nhất của số. Thanh ghi nhận nhận và dịch chuyển từng bit thông tin một cách đồng bộ với thanh ghi truyền. Do đó, khi kết thúc chuỗi bốn xung đồng hồ, mã được truyền sẽ được đặt vào thanh ghi nhận và có thể được đọc từ nó ở dạng song song, vì nó sẽ xuất hiện ở đầu ra Q 1 - Q 4. Đây là một cách truyền mã đồng bộ.

Trong phương pháp không đồng bộ, cạnh đồng hồ, được gọi là bit bắt đầu, đi kèm với một chuỗi 5-8 bit (thường là byte). Cái này giao diện nối tiếp tiêu chuẩn.

Để thực hiện các chức năng của cả máy thu và máy phát khi trao đổi ở định dạng nối tiếp tiêu chuẩn, các vi mạch đặc biệt KR580VV51 (đầu vào-đầu ra) hoặc KR581VA1 được sản xuất.

Tính toán kinh tế về chi phí của cáp truyền thông và thiết bị chuyển đổi cho thấy rằng với độ rộng bit 1-2 byte, việc truyền mã nối tiếp thậm chí trên khoảng cách vài mét vẫn có lợi hơn so với truyền mã song song.

Các tín hiệu dịch chuyển được cấp liên tục tới RG và đầu ra được nối tắt với đầu vào DS. Kết quả là mã sau khi được ghi vào thanh ghi sẽ lưu hành trong vòng này. Bằng cách đặt song song m thanh ghi giống hệt nhau, bạn có thể viết và đọc các từ m-bit bằng mã song song.

Nhược điểm: thời gian thực hiện lâu

Ưu điểm: chi phí phần cứng thấp và chi phí thấp.

Ví dụ: 144ИР3 có dung lượng 64 bit.

4. Nhà phân phối nhẫn

Nhà phân phối là các nút phân phối một luồng xung một cách tuần tự, từng xung, trên một số đầu ra theo các biểu đồ chu trình nhất định.

Chúng được sử dụng để điều khiển động cơ bước, ma trận CCD dịch vụ và các đối tượng đa bit khác (Hình 3.44).

Cơm. 3,44. Nhà phân phối nhẫn

Mạch cung cấp khả năng đưa một đơn vị thông qua phần tử OR tới đầu vào tiếp nhận thông tin DS nối tiếp. Các xung dịch chuyển tiếp theo có vây tần số lặp lại sẽ di chuyển đơn vị này từ mức ít quan trọng nhất đến mức quan trọng nhất, làm nổi bật nó ở đầu ra Q 1 - Q 4 (Hình 3.45).

Cơm. 3.45 Sơ đồ bộ phân phối vòng

Rõ ràng, tần số xung đầu ra ở mỗi đầu ra sẽ nhỏ hơn bốn lần so với đầu vào và nói chung tỷ lệ này phụ thuộc vào số bit của thanh ghi dịch - n. f ra = f vào / n

Ưu điểm của bộ phân phối như vậy là khả năng chuyển đổi chuỗi xung thành mã bát phân (thập phân) mà không cần sử dụng bộ giải mã.

Nhược điểm của sơ đồ này là sau khi xảy ra lỗi, chức năng chỉ có thể được khôi phục bằng cách giới thiệu một thiết bị mới.

Mạch có khả năng tự phục hồi sau khi hỏng hóc sẽ không gặp nhược điểm này (Hình 3.46).

Cơm. 3,46. Nhà phân phối vòng có khả năng tự phục hồi sau thất bại

Rõ ràng, khi một đơn vị được chuyển sang trigger thứ tư của thanh ghi, điều kiện sẽ được thỏa mãn: Q̅ 1 ·Q̅ 2 ·Q̅ 3 = 1. Đơn vị này sẽ được cung cấp cho đầu vào DS thông qua mạch phản hồi, sau đó là chu trình sẽ lặp lại.

5. Bộ đếm xung

Bộ phân phối vòng có thể được coi là một bộ chia ngược có hệ số đếm bằng số flip-flop. Bằng cách kết nối hai thanh ghi bốn bit nối tiếp, bạn có thể tạo bộ chia cho 16 (Hình 3.47).

Cơm. 3.47 Bộ chia cho 16 bộ đếm

Nhược điểm rõ ràng của thiết kế này là công suất nhỏ. Thật vậy, bằng cách sử dụng 8 flip-flop giống nhau, bạn có thể lắp ráp một bộ đếm nhị phân có hệ số chia là 2 8 = 256.

6. Nhà phân phối vòng ghép chéo